VHDL——8—3优先编码器

1.真值表

2.VHDL语言

library ieee;
use ieee.std_logic_1164.all;

entity pe8_3 is
    port(a : in std_logic_vector(0 to 7);
	      y : out std_logic_vector(2 downto 0));
end pe8_3;

architecture behave of pe8_3 is
  begin
  process(a)
    begin
	   if a(7) = '0' then y <= "111";
		elsif a(6) = '0' then y <= "110";
		elsif a(5) = '0' then y <= "101";
		elsif a(4) = '0' then y <= "100";
		elsif a(3) = '0' then y <= "011";
		elsif a(2) = '0' then y <= "010";
		elsif a(1) = '0' then y <= "001";
		else y <= "000";
		end if;
    end process;
  end behave;
  • 8
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

364.99°

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值