自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 收藏
  • 关注

原创 Linux 虚拟机:Network error: Connection refused的解决方法

主要原因其实就是你没有打开有线连接。

2023-03-15 22:29:37 1177

原创 2021-06-24

第十一章 实验21.实验目的:下载Quartur ii软件并进行图片仿真和代码仿真。2.实验内容:参照书上内容完成代码仿真。3.实验原理:4.实验工具:Modelsim和Quartur ii软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:【Modelsim第十一章实验二-哔哩哔哩】https://b23.tv/MCKi3T7.实验代码:(1)module BtoG1 (data_in,en,data_out,err);input [3:0] data_

2021-06-24 19:06:58 93

原创 2021-06-21

P93 例5.81.实验目的:下载Quartur ii软件并进行图片仿真和代码仿真。2.实验内容:参照书上内容完成代码仿真。3.实验原理:4.实验工具:Modelsim和Quartur ii软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:【Latch_Rbar_CA-哔哩哔哩】https://b23.tv/T8qAzf7.实验代码:module Latch_Rbar_CA(output q_out,input data_in,enable,

2021-06-21 16:28:17 149

原创 2021-06-21

例题4.561.实验目的:下载Quartur ii软件并进行图片仿真和代码仿真。2.实验内容:参照书上内容完成代码仿真。3.实验原理:4.实验工具:Modelsim和Quartur ii软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:【例题4.56-哔哩哔哩】https://b23.tv/5CM6Vd7.实验代码:module parity(X,Y);input [7:0]X;output [7:0]Y;assign Y={^X[6:0],X[6:0]};

2021-06-21 15:57:42 74

原创 2021-05-23

P381实验1.实验目的:用Quartur ii软件并进行图片仿真和代码仿真。2.实验内容:参照书上内容完成代码仿真。3.实验原理:具体原理参照书上内容。4.实验工具:pc机和Quartur ii软件5.实验截图:在这里插入图片描述在这里插入图片描述6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/4qDnmD7.实验代码:module examplel (x1,x2,s,f);input x1,x2,s;output f;not(k,s);

2021-05-23 01:27:48 78

原创 2021-05-23

第四次实验1.实验目的:运用Quartur ii软件并进行图片仿真和代码仿真。2.实验内容:3.实验原理:module DEC2x4(Z,A,B,Enable);output [3:0]Z;input A,B,Enable;wire AbarBbar;not noto( Abar, A)not1(Bbar,B);nandnand0(Z[3],Enable,A,B)nand1 ( z[0], Enable,Abar,Bbar) nand2(z[1],Enable,Abar,B

2021-05-23 01:15:36 102

原创 2021-05-16

Modelsim和quartus联合仿真1.实验目的:下载Quartur ii软件和Modelsim软件并进行联合仿真2.实验内容:参照所给代码完成联合仿真。3.实验原理:module led(input wire key_in,output wire led_out);assign led_out = key_in;endmodulemodule tb_led();wire led_out;reg key_in;initial key_in <= 1’b0;alwa

2021-05-16 11:26:44 72

原创 2021-05-07

实验2—11.实验目的:用Modelsim软件完成实验2—12.实验内容:参照书上内容完成代码仿真。3.实验原理:module fulladd(S,Cout,Cin,A,B);output S,Cout;input Cin,A,B;wire and1,and2,and3,and4;xor (S,Cin,A,B);and (and1,Cin,A);and (and2,A,B);and (and3,Cin,B);or (Cout,and1,and2,and3);endmodule

2021-05-07 23:05:48 57

原创 2021-05-07

4—1实验报告1.实验目的:用Modelsim进行仿真。2.实验内容:参照书上内容完成代码仿真。3.实验原理:module fulladd(sum,c_out,a,b,c_in);output sum,c_out;input a,b,c_in;wire s1,c1,c2;xor (s1,a,b);and (c1,a,b);xor (sum,s1,c_in);and (c2,s1,c_in);or (c_out,c2,c1);endmodulemodule test;wire

2021-05-07 22:26:02 100

原创 2021-03-16

Quartus II 实验报告1.实验目的:下载Quartur ii软件并进行图片仿真和代码仿真。2.实验内容:参照书上内容完成代码仿真。3.实验原理:4.实验工具:pc机和Quartur ii软件5.实验截图:6.实验视频:请下载哔哩哔哩动画打开此网址:https://b23.tv/4qDnmD7.实验代码:module examplel (x1,x2,s,f);input x1,x2,s;output f;not(k,s);and(g,k,x1);and(h,s

2021-03-16 14:16:23 162

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除