2021-05-16

本文档详细介绍了如何下载并使用Quartus和Modelsim进行联合仿真。实验内容包括根据给定代码配置和运行联合仿真。实验原理部分展示了简单的LED模块和测试模块,通过Modelsim和Quartus的配合,实现逻辑信号的仿真验证。实验工具涉及Modelsim和Quartus软件,并提供了实验截图和视频教程作为辅助资料。
摘要由CSDN通过智能技术生成

Modelsim和quartus联合仿真

1.实验目的:
下载Quartur ii软件和Modelsim软件并进行联合仿真

2.实验内容:
参照所给代码完成联合仿真。

3.实验原理:
module led
(
input wire key_in,
output wire led_out
);

assign led_out = key_in;
endmodule

module tb_led();

wire led_out;

reg key_in;

initial key_in <= 1’b0;

always #10 key_in <= {$random}

led led_inst
(
.key_in (key_in),

.led_out(led_out)

);

endmodule

4.实验工具:
Modelsim和Quartur ii软件

5.实验截图:
在这里插入图片描述

6.实验视频:

请下载哔哩哔哩动画打开此网址:
https://b23.tv/OH21WV

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值