ModelSim仿真Quartus II的VHDL文件(画波形)

        好久不见!这次本不会更新的,有位同学问我这个Quartus编写的VHDL怎么在MOdelSim中仿真画出波形,Quartus中是可以仿真的,但是老师让我们下了这两软件,且我们学的书叫《EDA技术与VHDL基础》。

        刚开始也是懵,网上找一下午都是Verilog HDL语言的,还要编写什么仿真文件,虽然可以自动生成,但只是模板,而且都是Verilog HDL的,加上老师都还没教;看了很多,终于摸索出来了一下步骤,绝对OK!!!与其去看懂怎么写仿真文件后调用ModelSim,不如和我一起走一遍,你就会了。

前提条件:

1.已经在Quartus II 中完成VHDL的编写和编译;

2.要求在ModelSim中仿真;

直接上图,一步一步看,一步一步操作。

 

 

  

 

 

 我们的实验里还有10进制和60进制,提醒一下,60进制是满60进一,就是跳一次啊;所以需要多执行几次?看你的来,哪个放大境可以缩小图像,没事就摸索一下。

还有注意你的输出是谁,输入是谁,有几个!!!

 下次见,不知道是多久了,因为我的这个是我找了半天找不到后,加上那位同学的一点建议写出的,希望对你有帮助!!!免费赞一下吧!

  • 5
    点赞
  • 49
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值