自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(191)
  • 收藏
  • 关注

原创 STM32 —— TIM(基本定时器)详解_stm32的tim

STM32F1 系列中,除了互联型的产品,共有 8 个定时器,分为基本定时器,通用定时器和高级定时器。基本定时器 TIM6 和 TIM7 是一个 16 位的只能向上计数的定时器,只能定时,没有外部 IO。通用定时器 TIM2/3/4/5 是一个 16 位的可以向上/下计数的定时器,可以定时,可以输出比较,可以输入捕捉,每个定时器有四个外部 IO。

2024-08-20 22:25:51 1052

原创 DDR协议基础进阶(四)DDR协议命令波形时序一——(ACT、Read、Write)

1. AL = 0时场景,易导致总线上的DQ数据输出时,产生气泡Bubble,打断数据传输的连续性,降低数据传输效率;也可能会推迟总线上DQ数据的发出时间导致,Latency增加,降低传输效率。参考:https://blog.csdn.net/Rspate/article/details/107859785。: RAS to CAS Delay,RAS至CAS延迟;亦即从ACT到RD/WR命令之间的时间间隔;参考:https://www.sohu.com/a/163865810_781333。

2024-08-19 14:10:31 753

原创 FPGA实现AXI4总线的读写_如何写axi4逻辑

通道信号源信号描述全局信号aclk主机全局时钟aresetn主机全局复位,低有效写通道地址与控制信号通道主机写地址ID,用来标志一组写信号主机写地址,给出一次写突发传输的写地址主机突发长度,给出突发传输的次数主机突发大小,给出每次突发传输的字节数主机突发类型主机总线锁信号,可提供操作的原子性主机内存类型,表明一次传输是怎样通过系统的主机保护类型,表明一次传输的特权级及安全等级主机质量服务QoS主机有效信号,表明此通道的地址控制信号有效从机。

2024-04-25 13:52:37 1476

原创 AXI4协议学习:架构、信号定义、工作时序和握手机制

5个通道Xilinx IP 核中的AXI4-full接口,可以看到每组信号都用名字开头的字母来区分。

2024-04-25 10:31:45 1413 1

原创 DDR3 MIG IP核解决方案

DDR3 MIG IP核解决方案信号方向描述app_addr [ADDR_WIDTH - 1:0]输入该输入指示当前请求的地址。app_cmd [2:0]输入该输入选择当前请求的命令。app_en输入这是app_addr [],app_cmd [2:0],app_sz和app_hi_pri输入的高有效选通。app_rdy输出此输出表明UI已准备好接受命令。如果在启用app_en时取消断言信号,则必须重试当前的app_cmd和app_addr,直到app_rdy被声明为止。app_hi_pri输入该高电平有

2024-04-25 10:15:31 1047 1

原创 RISC-V CVA6 在 Linux 下相关环境下载与安装

如果这里遇到网络问题,拉取失败,分开拉取一下所有包:这里的 core-v-verif 比较容易下载失败,如果失败,手动重新下载单独模块即可。

2024-04-20 16:36:49 715

原创 Arch 搭建 qemu riscv64 虚拟机

# Arch 搭建 qemu riscv64 虚拟机由于 u-boot 已经存在与 AUR 中,所以这里直接采用 Arch 对虚拟机进行搭建,或者我们可以将需要的环境拷贝到任意系统中运行也可以。这里我采用 docker 的方式进行运行,已经搭载好环境的 docker 放在了 docker hub 上,可以自行下载:https://hub.docker.com/r/ppqppl/arch-qemu-system-riscv64

2024-04-20 11:50:29 926 1

原创 设计咨询MIG 7系列DDR3 / DDR2 – 使用XADC模块进行温度监控器校准,增加到v1.7中的所有DDR3 / DDR2设计(ISE 14.3 / Vivado 2012.3...

设计咨询MIG 7系列DDR3 / DDR2 – 使用XADC模块进行温度监控器校准,增加到v1.7中的所有DDR3 / DDR2设计(ISE 14.3 / Vivado 2012.3)描述从ISE 14.3 / Vivado 2012.3设计工具发布的MIG v1.7开始,DDR3,DDR3L和DDR2设计包括温度监控系统,以便在温度变化/漂移时保持读取数据窗口中的DQS中心对齐。本答复记...

2024-04-19 14:45:00 427

原创 Docker 入门使用说明

这里由于 Docker 在实时更新,所以每次安装 Docker 用来导入 key 的链接可能会有变化,这里就参考官方的安装方法即可。

2024-03-24 11:32:43 1166 2

原创 riscv 各种版本 gcc 工具链编译与安装

riscv的gcc编译器,分为2大类:裸机: unknown-elf,none-embed除了 none-embed 编译器,对于每一类,如果禁用 multilib,那么又分为 32 位版本和 64 位版本。如果使能 multilib,那么就只有一个版本,但是这个版本工具,可以同时支持 32 位和 64 位。

2024-03-16 14:14:43 4750 2

原创 riscv各种版本gcc工具链编译与安装

riscv各种版本gcc工具链编译与安装riscv gcc工具下载Riscv 的 gcc 交叉编译器,以开源的方式托管在 github 上。链接如下:https://github.com/riscv/riscv-gnu-toolchain该仓库,里面包含了一些子仓库。使用以下这个命令,将所有仓库,都 clone 下来。git clone --recursive https://gi...

2024-03-16 14:11:00 978

原创 RISC-V 编译环境搭建:riscv-gnu-toolchain 和 riscv-tools

这里我们需要使用 64 位基于 RV64G 的编译环境,选择编译 riscv64-unknown-linux-gnu-gcc。

2024-03-16 13:25:24 1829 1

原创 基于OmniArk芯神鼎硬件仿真系统和QEMU的混合验证平台

当前,许多全流程验证工作都依赖于硬件仿真来完成。在早期,硬件仿真主要被用于代码设计的后端阶段,主要用于确认代码功能的正确性。然而,随着设计流程时间需求的加剧,更多的步骤开始被集成到硬件仿真中,包括早期的功耗分析、系统环境构建和逻辑调试等。同时,硬件仿真系统的专用化趋势日益显著。对于那些需要处理大量数据,但算法相对单一的应用领域,例如加密算法和WIFI应用等,他们对仿真的需求正在逐步增大。在芯片设计过程中,根据设计的复杂性和特性,可能需要采用不同的仿真验证模式。

2024-03-16 13:24:53 938 1

原创 数字后端 EDA 软件分享

推荐这几家的EDA工具吧,虽说我也支持国产工具,但是我还是选择了这几家的工具下图我现在用的eda环境,利用网上的资源,自己独立在vmware上搭建好的EDA环境除去,eda工具的磁盘数据大小加起来快100G关于EDA工具目前装了,用来分析电源EM和芯片的电压降IRdropcalibre2019,后端物理验证DRC/LVS/ERC/LVL/SVSquestasim_10.7c ,仿真verilogInnovus。数字ic后端PR,

2024-03-16 13:24:02 1559 3

原创 Xilinx FPGA模式配置

外部控制加载FPGA配置模式,通常称为从模式,也可用于串行或并行数据传输路径。在从模式下,外部处理器、微控制器、DSP处理器或测试器将配置图像下载到FPGA中,如图3所示。自加载FPGA配置模式,通常称为主模式,可用于串行或并行数据传输路径。FPGA内部产生称为称为CCLK的配置时钟信号,FPGA通过闪存发送时钟或地址来控制配置过程。JTAG模式同样是串行配置模式,广泛用于原型制作和板测试。Xilinx UltraScale FPGA有7种配置模式,由模式输入引脚M[2:0]决定。七种模式如图1所示。

2024-03-16 13:23:32 873 1

原创 DDR协议基础进阶(三)——(基本功能、初始化、MR寄存器)

DDR核心技术点就在于(1)双沿传输。(2)预取prefetch。实际上,Prefetch并不是什么新技术,在DDR1就开始应用了,我们以前经常能看到这样描述DDR,“在时钟周期的上沿和下沿都能传输数据,所以传输率比SDRAM快了一倍”,这就说上沿传输一位数据,下沿传输一位数据,在一个时钟周期内一共传输两位数据(2-bit),但这2-bit数据得先从存储单元预取出来才行(一个时间周期)。换句话说,一次读2-bit的数据,然后在I/O时钟上升沿和下降沿传输出去,这就是2-bit Prefetch技术。

2024-03-16 13:23:00 3363 1

原创 DDR协议基础进阶(二)——(Pinout信号组成、地址关系)

DDR在完整的PC端或移动电子消费端中属于芯片的外挂组件,其引脚信号按照功能可以分为6大类:前3类为;后3类为。下面以DDR3为例,其具体的信号信息如下表:(

2024-03-16 13:22:19 1274 1

原创 Vivado 添加列表中不存在的 FLash 器件

Vivado 添加列表中不存在的 FLash 器件以华邦 SPI FLASH W25Q128JVEIQ 为例进行说明。(其他 Flash 添加步骤一致)1.本地 vivado 安装目录 D:\Softwares\xlinx_tools\Vivado\2020.2\data\xicom 下,找到 xicom_cfgmem_part_table.csv 文件,这个表与 vivado hardwa...

2024-01-22 14:02:00 1200

原创 DDR 基础介绍 (一)——(演进、构成、存储原理)

DDR 基础介绍 (一)——(演进、构成、存储原理)我们常说的DDR,亦即DDR SDRAM,指的是PC端或者消费电子(手机,平板)中的内存,是 “Double Data Rate Synchronous Dynamic Random Access Memory”(双数据率同步动态随机存储器)的简称,它 允许在时钟脉冲的上升沿和下降沿传输数据,其主要作用是为了和CPU频率同步,进而大大提高数据...

2024-01-19 10:01:00 1425 1

原创 ROS 入门 —— 基于 turtlebot3 实现 SLAM 建图及自主导航仿真

ROS 入门 —— 基于 turtlebot3 实现 SLAM 建图及自主导航仿真turtlebot3简介TurtleBot3 是一个小型,低成本,完全可编程,基于 ROS 的移动机器人。它旨在用于教育,研究,产品原型和爱好应用的目的。TurtleBot3 的目标是大幅降低平台的尺寸和价格,而不会牺牲性能,功能和质量。由于提供了不同可选,如底盘,计算机和传感器,TurtleBot3 可以通过...

2024-01-10 11:58:00 1006

原创 FPGA入门 —— AXI4 总线简介

FPGA入门 —— AXI4 总线简介=============AXI4 总线协议AXI4,全称第四代高级可扩展接口(Advanced eXtensible Interface 4),是一种高性能、高带宽、低延迟的片上总线协议,可用于处理器与内存之间传递数据。动态随机存储器(Dynamic Random Access Memory,DRAM)是内存的主要组成部分。由于其访问速度较慢,它并...

2024-01-04 09:12:00 1334

原创 FPGA入门 —— DDR3(MIG IP 核) 入门

FPGA入门 —— DDR3(MIG IP 核) 入门DDR3 基本内容简介DDR 简介DDR=Double Data Rate双倍速率同步动态随机存储器。严格的说DDR应该叫DDR SDRAM,人们习惯称为DDR,其中,SDRAM 是Synchronous Dynamic Random Access Memory的缩写,即同步动态随机存取存储器。而DDR SDRAM是Double Dat...

2024-01-03 14:07:00 3387 1

原创 FPGA 入门 —— RAM(ip 核与原语的使用)

FPGA 入门 —— RAM(ip 核与原语的使用)BRAM 简介XILINX 系列的 FPGA ,如果想要做一个 RAM,有两种方式:1、使用逻辑资源组成分布式 RAM,即Distributed RAM2、使用 XILINX 专用的 Block RAM,即 BRAM前者是由 CLB 的SLICEM 的 LUT 组合而成,构成 RAM 后,可能分布在不同的地方,具有一定的延迟;...

2023-12-29 15:40:00 1654 1

原创 基于 OpenVINO 的目标识别

基于 OpenVINO 的目标识别YOLOV5原理YOLOv5是一种快速高效的目标检测算法,具有优秀的实时性能和较高的准确度。该算法利用深度学习技术实现了端到端的目标检测,在计算资源有限的情况下也能够获得出色的表现YOLOv5采用了一种基于Anchor的检测方式,在输入图像中通过预先定义的Anchor尺寸进行目标检测。相比于传统的滑动窗口方法,该方法能够在不同尺度的特征图上同时进行目标检测...

2023-07-16 22:16:00 365

原创 基于Cyclone V SoC利用HLS实现卷积手写体数字识别设计

基于Cyclone V SoC利用HLS实现卷积手写体数字识别设计本文是基于英特尔 Cyclone V SoC 开发板,利用 HLS 技术实现三层卷积两层池化两层全连接推理运算的手写体数字识别设计硬件环境:Cyclone V SoC开发板SD卡电脑软件环境:Windows 11Quartus prime 18Eclipse DS-5MobaXtermi++编译环境HLS...

2023-07-16 21:36:00 373

原创 卷积神经网络狗猫数据集的分类

卷积神经网络狗猫数据集的分类环境搭建安装Anaconda具体安装过程,请自行百度配置TensorFlow、Keras创建虚拟环境输入下面命令:conda create -n ppqppl_tfl python=3.6#tf1是自己为创建虚拟环境取的名字,后面python的版本可以根据自己需求进行选择激活环境使用下面命令:activateconda activate...

2023-07-02 18:25:00 1266

原创 决策树 ID3 手工推导

掌握决策树ID3算法的原理,通过增益熵实现手工推导的过程。参考案例:https://cuijiahua.com/blog/2017/11/ml_2_decision_tree_1.html机器学习实战教程(二):决策树基础篇之让我们从相亲说起决策树 ID3 手工推导决策树 ID3 简介ID3作为一种经典的决策树算法,是基于信息熵来选择最佳的测试属性,其选择了当前样本集中具有最大信息增益...

2023-07-01 22:03:00 928

原创 STM32 HAL 库驱动 ESP8266 WiFi 模块

STM32 HAL 库驱动 ESP8266 WiFi 模块实验原理关于 ESP8266 WiFi 模块使用原理可以看我前面的博客WiFi 驱动代码连接将会放到文末这里我们将芯片换为 STM32F103ZET6,别问为什么,问就是引脚资源多CubeMX 配置USART2 与 USART3 配置这里我们使用的配置与前面我们配置 USART1 的方式完全一致:USART3 ...

2023-06-25 20:23:00 2302 1

原创 树莓派超声波测距

树莓派超声波测距树莓派引脚使用直接给出树莓派引脚图:如上图所示,我们可以很清楚的看到各个引脚的功能。例如我们想使用 pwm 引脚来控制舵机,则我们可以考虑使用其中的 BCM18(PWM0) 和 BCM13(PWM1)。在使用 wiringPi 库时,我们定义的引脚即 BCM 引脚,例如:pwmPinV = 18pwmPinH = 13如果这里使用 python 语言,...

2023-06-07 00:16:00 421

原创 FPGA入门 —— FPGA UART 串口通信

FPGA入门 —— FPGA UART 串口通信串口简介UART 通用异步收发传输器( Universal Asynchronous Receiver/Transmitter) ,通常称作 UART。 UART 是一种通用的数据通信协议,也是异步串行通信口(串口)的总称,它在发送数据时将并行数据转换成串行数据来传输,在接收数据时将接收到的串行数据转换成并行数据。 它包括了ch340、 RS2...

2023-06-06 23:58:00 5107

原创 机器学习图像处理 HOG 算法实现

机器学习图像处理 HOG 算法实现一、实验介绍1. 实验内容本实验将学习HOG 特征提取算法。2. 实验要点HOG 算法HOG 算法有效的原因创建 HOG 描述符HOG 描述符中的元素数量可视化 HOG 描述符理解直方图3. 实验环境Python 3.6.6numpymatplotlibcv2copy二、实验步骤简介正如在 ORB 算法中看到的,我们...

2023-06-05 23:45:00 435

原创 机器学习图像处理 HOG 算法原理

机器学习图像处理 HOG 算法原理HOG 算法思想HOG算法(方向梯度直方图)是在2005年由Navneet Dalal在论文Histograms of Oriented Gradients for Human Detection中首次提出的,主要是为了基于各像素点的梯度提取出图像中目标的轮廓,用较少的特征更好地表达图像中目标信息主要思想:官方解释:在一幅图像中,局部目标的表象和形状能够...

2023-06-05 23:31:00 1039

原创 机器学习图像处理 SIFT 特征关键点检测

机器学习图像处理 SIFT 特征关键点检测SIFT简介SIFT 的全称是 Scale Invariant Feature Transform,尺度不变特征变换,由加拿大教授 David G.Lowe 提出的。SIFT 特征对旋转、尺度缩放、亮度变化等保持不变性,是一种非常稳定的局部特征SIFT 算法具的特点图像的局部特征,对旋转、尺度缩放、亮度变化保持不变,对视角变化、仿射变换、噪声...

2023-06-05 22:37:00 397

原创 机器学习 —— 支持向量机

机器学习 —— 支持向量机介绍在本练习中,我们将使用支持向量机(SVM)来构建垃圾邮件分类器。在开始练习前,需要下载如下的文件进行数据上传:data.tgz -包含本练习中所需要用的数据文件其中:ex5data1.mat -数据集示例1ex5data2.mat -数据集示例2ex5data3.mat -数据集示例 3spamTrain.mat -垃圾邮件训练集spamT...

2023-06-05 14:59:00 287

原创 机器学习 —— 通俗易懂的解释支持向量机

机器学习 —— 通俗易懂的解释支持向量机本文主要内容如下:SVM原始模型构建对偶形式的推导求解的方法软间隔SVM及核方法SVM 优缺点及应用什么是 SVM首先,让我们来对 SVM 产生一个直观的认识:支持向量机(Support Vector Machine,SVM),二类分类器,它最终能告诉你一个东西是属于 A 还是属于 B。在由样本点构成的向量空间内,S...

2023-06-03 00:26:00 1399

原创 机器学习 —— 逻辑回归

机器学习 —— 逻辑回归原理模型介绍Logistic Regression 虽然被称为回归,但其实际上是分类模型,并常用于二分类。Logistic Regression 因其简单、可并行化、可解释强深受工业界喜爱Logistic 回归的本质是:假设数据服从这个分布,然后使用极大似然估计做参数的估计Logistic 分布Logistic 分布是一种连续型的概率分布,其分布函数和密度函数分...

2023-06-02 16:15:00 202

原创 STM32 倒车测距系统(科目二) —— STM32 超声波测距(HC-SR04)

STM32 —— HC-SR04 超声波测距实验原理这里采用 RT-Thread Nano 系统进行多线程开发,关于 RT-Thread Nano 系统的使用可以参考我的另一篇博客:STM32 —— RT-Thread Nono 移植超声波模块采用 HC-SR04 进行测距,有关超声波的原理可以参考我前面发布的博客:超声波 HC-SR04 模块入门CubeMX 配置配置 RT-Th...

2023-05-31 23:30:00 597

原创 树莓派安装配置 MySQL

树莓派安装配置 MySQL这里我们安装的是 MySQL57 版本安装 MySQL首先我们要考虑使用命令安装 MySQL:sudo apt-get install mysql-client mysql-server但是在树莓派最新版系统中会报错如下:正在读取软件包列表... 完成正在分析软件包的依赖关系树... 完成正在读取状态信息... 完成 没...

2023-05-24 13:43:00 1581

原创 树莓派远程连接 —— VNC 配置

树莓派远程连接 —— VNC 配置开启 vnc这里 VNC 是树莓派自带的,我们并不需要下载首先打开树莓派的设置,勾选并启用 VNC 相关选项:这里我们需要开启 SSH 与 VNC 选项然后我们需要执行命令,打开 VNC,命令如下:sudo raspi-config执行命令后,会出现如下画面,这里选择内部设置:然后我们选中 VNC 启动,后面的界面全部选择是即可...

2023-05-23 21:14:00 2926

原创 树莓派安装 Ubutntu 系统

树莓派安装 Ubutntu 系统环境准备这里我们使用的烧录系统的工具是树莓派官方提供的 Raspberry Pi Imager我们可以从树莓派系统官网下载:Raspberry Pi OSInstall Raspberry Pi OS using Raspberry Pi Imager然后我们需要一张至少 16GB 的内存卡,这样我们就可以开始烧录了系统烧录首先我们需要选择要进行烧...

2023-05-23 17:09:00 599

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除