学习日志7.28--VRRP(Virtual Router Redundancy Protocol)虚拟路由冗余协议

在二层交换机和三层路由器的连接过程中,通常都是路由器用一条线路直连交换机,但是容易出现一个问题当连接的路由器出问题的时候,那与之相连的子网就会网络瘫痪,为了解决这个问题,就采用两台路由器进行连接,一台做主要路由器,一台做备用路由器,当主要路由器发生故障的时候(这叫单点故障),备用路由器就自动转为主要路由器,称为主备选举。

简单说就是,当个网关的话存在单点故障,多网关就存在多地址冲突。

拓扑结构

但是这样存在一个问题,就是一台主机只能设置一个网关地址,但是两个路由器就会存在两个网关地址,如果两个路由器配置相同的网关地址,就会造成地址冲突。VRRP技术就是将两个物理的路由器虚拟成一个虚拟的路由器,以保障交换机和路由器的正常连接。
按照拓扑图对路由尽心VRRP配置,首先配置各接口的ip信息,然后配置vrrp
命令:[R1-GigabitEthernet0/0/1]vrrp vrid 1 virtual-ip 192.168.1.254,对R1进行vrrp的配置,虚拟组号是1,虚拟IP是192.168.1.254
命令:[R1-GigabitEthernet0/0/1]display vrrp brief,配置号后查看配置的虚拟路由器的信息可以看到这是一台主路由器Master,虚拟IP是192.168.1.254

命令:[R2-GigabitEthernet0/0/2]vrrp vrid 1 virtual-ip 192.168.1.254,对R2进行vrrp的配置
命令:[R2-GigabitEthernet0/0/1]display vrrp brief,配置号后查看配置的虚拟路由器的信息可以知道,这是一台备用路由器Backup,虚拟ip是192.168.1.254

配置好后进行通信测试,通信成功。

对R1的接口进行关闭,模拟故障
命令:[R1-GigabitEthernet0/0/1]shutdown,把R1的接口关闭
然后再做通信测试,还能成功再回到R2去查看配置的VRRP信息发现这个时候R2就变成了主要路由器,虚拟IP不变192.168.1.254。

由物理路由器配置虚拟路由器VRRP,物理路由器之间会每隔一秒互相发送一条VRRP的心跳消息的数据,以此判断对方路由器是否工作正常

还需要注意的是,在数据传输过程中,若启用主路由器,则数据会从主路由器通过,不会从备用数据通过,当主路由出现故障就会启动备用路由,数据就会从备用路由通过。

  • 5
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
根据提供的引用内容,可以看出这个错误是由于找不到模块'E:\LSTWORK\7.28\bip-web-master\node_modules\@vue\cli-service\bin\vue-cli-service.js'引起的。根据引用\[1\]和引用\[2\]中的代码,可以看出这个模块是在ESLint配置文件中被引用的。具体来说,在index.js文件中,通过module.exports导出了一个对象,其中包含了一些配置信息和插件。在这个配置中,使用了'@vue/prettier'插件,而这个插件依赖于'@vue/cli-service'模块。因此,当运行代码时,如果找不到这个模块,就会出现"Error: Cannot find module"的错误提示。 解决这个问题的方法是确保'@vue/cli-service'模块已经正确安装,并且在运行代码之前,确保当前工作目录是正确的。可以尝试重新安装依赖,或者检查径是否正确。另外,还可以检查是否有其他配置文件或脚本中引用了这个模块,可能需要对这些文件进行相应的修改。 总结起来,这个错误是由于找不到'@vue/cli-service'模块引起的,可以通过重新安装依赖或检查径和其他配置文件来解决这个问题。 #### 引用[.reference_title] - *1* *2* *3* [Vue 项目中使用 ESLint 和 Prettier 偏难怪的超深度解析](https://blog.csdn.net/wangsenling/article/details/124944411)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值