关于使用Quartus Ⅱ软件编写Verilog HDL语言程序的常见代码模板与测试文件testbench的代模板总结。

工程文件模板:

module A
(
    input    wire    B,
    input    wire    C,
    ...................
    output   wire    D,
    output   wire    E
  );

//assign {D,E} = B + C;
//always@(*)
  输入输出端口赋值操作模块,赋值语句以分号结尾
/*if({B,C} = 3'b000)
      {D,E} = B + C;
   else if()
   .........
  else
  .........*/
/*case({B,C})
    3'b000:D = 8'b0000_0001;
    3'b001:D = 8'b0000_0010;
    ........................
    default:D = 8'b0000_0001;
  endcase*/

endmodule

测试文件testbench模块代码模板:

`timescale 1ns/1ns
module tb_A();

reg     B;
reg     C;
..........
wire    D;
wire    E;

initial
    begin
        B <= 1'b0;
        C <= 1'b0;
        ..........
    end

always #10 B <= {$random} % 2;
always #10 c <= {$random} % 2;
..............................  

initial
    begin
        $timeformat(-9,0,"ns",6);
        $monitor("@time %t:B = %b,C = %b,D = %b,E =%b",$time,B,C,D,E);
    end
A A_inst
(
    .B(B),
    .C(C),
    ......
    .D(D),
    .E(E)
);

endmodule

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值