FPGA-逻辑代数

最小项表达式:输出结果为1的输入值相或;

最大项表达式:输出结果为0的输入值相与。

7a014808991e4dc589305632cdddbe43.jpg

 组合逻辑电路:不包含记忆元件,某时间点的输出仅取决于当时的输入。

 时序逻辑电路:含有记忆元件的记忆电路。是一种过去的电路状态也会对输出输出产生影响的逻辑电路。包含同步时序逻辑电路和异步时序逻辑电路。

同步时序逻辑电路:输入和内部状态的变化由时钟信号控制同步进行(FPGA电路设计用);

异步时序逻辑电路:不需要时钟信号。

2.同步时序电路设计

2.1 触发器

一种只能存储一个二进制位的存储单元,可以用作时序电路的控制元件

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值