1-4路数据分配器VHDL描述

library ieee;
use ieee.std_logic_1164.all;

entity sy2 is
    port(data,enable: in std_logic;        --分别为输入端口和使能端口
	 s : in std_logic_vector(1 downto 0);   --选择信号端口
	 y0,y1,y2,y3:OUT STD_LOGIC);  --输出端口      
end sy2;

architecture behave of sy2 is
begin 
  process(enable,s,data)
  begin	
  
     if enable = '0' then
        y0<='0';y1<='0';y2<='0';y3<='0'; 
	 elsif s = "00" then
	  y0<=data;y1<='0';y2<='0';y3<='0';
	 elsif s = "01" then
		y1<=data;y0<='0';y2<='0';y3<='0';
	 elsif s = "10" then
	   y2<=data;y0<='0';y1<='0';y3<='0';
	 elsif s = "11" then
	  y3<=data;y0<='0';y1<='0';y2<='0';
	 end if;
  end process;
end behave;
		


 

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

跳舞的muse

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值