Verilog语言入门

Verilog模块结构整体说明

module 模块名 ([端口列表])
[端口信号声明;]
[参数声明;]
内部信号声明:assign语句、always语句块等
endmodule
在这里插入图片描述
示例:
在这里插入图片描述
在这里插入图片描述

具体内容

模块说明

在这里插入图片描述
示例:
在这里插入图片描述

assign语句

在这里插入图片描述
assign:wire类型
always:reg类型
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

always语句

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

上图解释说明:
在C语言中都是顺行执行语句
Verilog中是并行执行,但是在begin end里面是顺行执行
当clk上升沿或者复位下降沿来临的时候执行begin end里面的语句

在这里插入图片描述

在这里插入图片描述

个人理解:
非阻塞赋值是先按行计算操作符右边的结果,然后将结果对应赋值给左边

在这里插入图片描述

只是描述,不能做到一模一样

左边M是中间变量

右边always中相当于打了三个时钟

在这里插入图片描述

底层模块和门源语调用

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

Verilog中的数据类型

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值