- 博客(1)
- 收藏
- 关注
原创 vhdl语言60进制计数器及其数码显示
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ledcnt60 is port(clk,clr,ena:in std_logic; cnt10,cnt6:out std_logic_vector(3 downto 0); carry_out:out std_logi
2008-04-11 22:51:00
13771
2
空空如也
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人