自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 资源 (1)
  • 收藏
  • 关注

原创 一张图解释cacheway/set/line

2023-11-06 00:00:13 96

原创 Upf描述的内容

Powerswitch cell在主电源和虚拟电源(可关断的电源域)之间添加开关。level shifter cell用在跨电压域传输。通常用到某些在可关电源域掉电还保持常开。Upf描述电源,rtl 看不见。

2023-10-28 18:43:56 53

原创 cache相关问题整理1

cache结构,cachemap原理

2023-01-20 17:20:12 356

原创 关于cpu的几个概念

ananke a55 架构corinth cluster上除了core架构的其余部分hercules a78架构Cluster core架构加一些外设

2022-02-10 10:39:16 432

原创 2020-07-30

代码,功能,用例(metreics)mdv CDV需求来源:用户需求,研制规范,时序文档,寄存器文档需求—>vplan需求分解—>功能点—>用例功能点(需求到功能点的映射):输入,输出,处理过程(ipo)随机用例(合理空间内),定向用例(特定场景)cover point序列预定义函数...

2020-07-30 16:14:52 253

原创 cshell并行脚本

使用& 和wait#!/bin/bashline_task1 &line_task2 &waitexample: 可以完成单个线程并行执行n次for i in seq 1 3do{line_task}&donewait可以修改拓展成嵌套并行:TEST= (t1 t2)for t in ${TEST[*]};do{for i in ...

2020-03-15 21:47:01 344

转载 gvim匹配替换

匹配后替换或其他操作%s/,(\w)/, /\1/gc注意括号前后和1前的转译符号“ \” /w表示匹配一个任意文本。1表示括号内匹配到的内容,还可以有2和3.匹配运用正则表达式我们创建一个正则表达式var RegExp = /^(123)(456)\2\1KaTeX parse error: Undefined control sequence: \1 at position 72:...

2020-01-09 00:08:26 3298

转载 vcscoverage

VCS中的coverage分析VCS支持强大的覆盖率分析功能, 那么如何使用该功能呢. 在这里只讲述基本的一些概念和流程,如果想了解更多, 请查看synopsys的VCS/ VCS MX Coverage Metrics User Guide.下面列出如何用VCS进行覆盖率分析的步骤:$> vcs -Mupdate -cm line -cm_dirmy_cov_info sourc...

2019-06-21 16:01:08 1520

转载 Python字典中的值为列表或字典的构造方法

1、值为列表的构造方法dic = {}dic.setdefault(key,[]).append(value)***示例如下dic.setdefault(‘a’,[]).append(1)dic.setdefault(‘a’,[]).append(2)dic{‘a’: [1, 2]}2、值为字典的构造方法dic = {}dic.setdefaul...

2019-06-12 16:20:21 3882 1

转载 覆盖率合并

用VCS/NC 生成coverage 之后,需要进行覆盖率的合并处理命令如下:bsub -q regression -P PRJ_NAME urg -full64 -f ./cov.lst -dbname merged.vdb -report urgReportcov.lst 包含所有vdb的列表...

2019-05-24 10:53:59 5729

原创 vcs

+plusarg_save +ntb_random_seed = {NUMBER} 设置seed+plusarg_save +ntb_random_seed_automatic 自动改变seed

2019-05-23 16:21:57 2479

转载 upf仿真例子

原文链接关于什么是UPF以及电源域等等概念赛宝龙在这里就不多说了,有兴趣的可以查阅IEEE1801-2013标准。先上低功耗要求,即power intent:顶层模块为TOP,而TOP中例化了一个子模块,其例化名为instA1,具体的代码如下所示:![top](https://img-blog.csdnimg.cn/20190522094410445.jpg)...

2019-05-22 09:44:29 5666 3

转载 upf学习

set_scope用来定位当前scope,表明UPF command应该在hierarchy中的哪个位置执行。set_design_top用来联系UPF和design module,该UPF将应用到每个由该module例化的instance。port和net的区别:port是指module的接口,连接相邻的hierarchy,而net是module内部的,连接各个port。power do...

2019-05-22 09:40:43 3757

转载 python excel

1、打开excel    readbook = xlrd.open_workbook(r’\test\canying.xlsx’)  2、获取读入的文件的sheet    sheet = readbook.sheet_by_index(1)#索引的方式,从0开始    sheet = readbook.sheet_by_name(‘sheet2’)#名字的方式  3、获取sheet的最大...

2019-04-24 10:18:35 128

转载 python xlrd

1、安装pip前需要前置安装setuptools命令如下:wget --no-check-certificate https://pypi.python.org/packages/source/s/setuptools/setuptools-19.6.tar.gz#md5=c607dd118eae682c44ed146367a17e26(python 官网下载setuptools安装包)t...

2019-04-23 18:17:31 730

转载 set_report_max_quit_count/set_timeout

3.UVM基础3.1uvm_component与uvm_objecta.uvm_component本质上也是派生自uvm_objectb.uvm_component有两大特性是uvm_object所没有的:一是在build_phase中通过在定义new的时候以及调用构造函数new时指定parent参数来形成一种树形组织结构,二是有phase的自动执行特点。c.transaction派生自uvm_s...

2019-04-12 17:17:59 1495

转载 [转载]功能丰富的 Perl:用 Perl 读写 Excel 文件

使用 Spreadsheet::WriteExcel 和 Spreadsheet::ParseExcel 模块本文研究了在 Windows 和 Linux 中如何使用 Perl 和几个简单模块读写 Excel 文件。本文的作者 Teodor Zlatanov 是一名 Perl 专家,自 1992 年起,他一直在该社区中工作,在他涉足的各种领域中,他专长于文本解析中的开放源码工作。解析 Exce...

2019-04-10 18:48:48 738

转载 coverage

1,验证的一般过程:通过技术指标(Design Specification)设计验证计划(Verification  Plan),由验证计划开展验证工作。2,覆盖率一般分为两种表示:一种是显式的(Explicit),主要通过SV环境进行验证,验证手段是CRT(Constrained Random Tests),覆盖率要在验证通过后主动进行分析。一种是隐式的(Implicit),主要通过D...

2019-04-08 14:43:39 2889 1

原创 coredump原因

Core dump调试生成core 文件,gdb指针字符串字符指针与字符数组定义的差别它们最根本的区别是在内存中的存储区域不一样,字符数组存储在全局数据区或栈区,而以指针形式表示的字符串却存储在常量区。全局数据区和栈区的字符串(也包括其他数据)有读取和写入的权限,而常量区的字符串(也包括其他数据)只有读取权限,没有写入权限。一句话概括:数组形字符串存放在全局数据区或栈区,可读可写。指针字符...

2019-01-20 20:02:45 540

原创 英文文献中C++专业

对阅读英文c++文献有些许帮助动态分配和撤销内存运算符new和delate(不是函数)void vector_init(Vector& v, int s){ v.elem = new double[s]; // allocate an array of s doublesv.sz = s; }operator 运算符重载构造函数 constructor 处理类别对象初始化...

2018-11-01 00:00:12 1046

原创 c++概要 10.31

c++概要 10.31引用(reference) 把它作为函数参数,扩充函数传递数据的功能,实际上直接传输了指针,不用开辟指针变量,pascal语言中 变量形参。c++ 重点, design, implementation ,user-define type(udt)欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Mark...

2018-10-31 21:56:17 121

lunix菜鸟指令大全

适合菜鸟的lunix指令大全,复制粘贴打开,解压缩压缩 li

2018-11-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除