Callback回调的本质

“关于‘回调’,99%的人不知道!”、“关于回调看这一篇就好了!”

问题:给一个button设定监听事件,一秒钟内点击一亿次,你觉得它一亿次点击事件都能被响应吗?

言归正传,关于回调函数,前端后端移动端三端应该都很熟悉了,例如移动端Android开发里的点击事件:

button.setOnClickListener(new View.OnClickListener() {
            @Override
            public void onClick(View view) {
                showToast();
            }
        });

再简单不过的一个回调了,给button设置点击事件,当点击事件发生时,显示一个吐司消息。lamda表达式的写法如下:

button
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在UVM(Universal Verification Methodology)中,callback回调函数是一种在特定事件发生时自动调用的函数。这些事件可以是UVM中定义的一些特定动作,例如创建或删除一个对象,或者在测试用例的不同阶段执行某些操作。 UVM中使用callback回调函数的目的是在特定事件发生时执行一些自定义的操作,而不需要修改UVM的源代码。这样可以提高代码的可重用性和灵活性。 在UVM中,callback回调函数通常是通过使用UVM提供的`uvm_callback`类来实现的。这个类是一个基类,用户可以从这个基类派生出自己的回调类,并重载其中的虚拟函数来实现自定义的操作。例如,用户可以派生一个自己的回调类,并重载`execute`函数来定义在某个特定事件发生时执行的操作。 以下是一个示例代码片段,展示了如何在UVM中使用callback回调函数: ```systemverilog class my_callback extends uvm_callback; // 重载execute函数来定义回调函数的操作 virtual function void execute(uvm_object obj); // 在这里编写自定义的操作 $display("Callback function called!"); endfunction endclass // 在需要使用callback回调函数的地方创建一个回调对象 my_callback callback; // 注册回调对象到对应的事件上 my_object.add_callback(callback); // 当事件发生时,回调函数会被自动调用 ``` 在上述示例中,我们创建了一个名为`my_callback`的回调类,并重载了`execute`函数。然后,我们创建了一个回调对象`callback`,并将其注册到一个特定的事件上(`my_object.add_callback(callback)`)。当该事件发生时,execute`函数会被自动调用,并执行自定义的操作。 请注意,使用callback回调函数需要遵循UVM的规范和最佳实践。在实际使用中,可以根据具体需求来设计和实现自己的callback回调函数。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值