自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 资源 (14)
  • 收藏
  • 关注

原创 FT-D2000/8 飞腾处理模块

飞腾处理模块

2022-10-04 21:50:06 5628 2

原创 nvidia xavier NX FPGA 异构深度学习计算平台

FPGA 高性能边缘计算平台

2021-11-30 22:59:38 558

原创 NVIDIA Jetson Xavier 多接口智能处理板平台

NVIDIA Jetson Xavier 多接口智能处理板平台 支持多接口,采用FPGA进行输入

2021-11-30 22:54:35 2794

原创 【无标题】

FPGA 6UVPX 存储计算板

2021-11-30 22:47:28 112

原创 视频稳定算法

视频稳定算法视频稳定是指一组用来减少摄像机运动对最终视频的影响的方法。摄像机的运动可以是平移(即在x, y, z方向上的运动)或旋转(偏航,俯仰,滚动)。视频稳定方法包括机械、光学和数字稳定方法机械稳像:机械稳像系统利用陀螺仪和加速度计等特殊传感器检测到的运动来移动图像传感器,以补偿摄像机的运动。光学视频稳定 :在这种方法中,稳定不是移动整个相机,而是通过移动镜头的部分来实现。这种方法使用了一个可移动的透镜组件,当光通过时,它可以可变地调整光的路径长度。数字视频稳定:这种方法不需要特殊的传

2021-11-30 22:29:57 1769 1

原创 人工智能&自动驾驶

人工智能&自动驾驶人工智能的应用深度学习,神经网络,机器学习可实现的内容行人识别 行人检测 行人计数 车辆识别 车辆计数 ,手写数字识别,手写汉字识别,手写字母识别,图片识别,目标检测,水果识别,花卉识别,颜色识别,形状识别,手势识别,安全帽识别,情绪识别,行人识别,车辆识别,行人计数,车辆计数,火焰识别,烟雾识别,安全帽识别一 、神经网络的历史神经网络的发展历史分成4个时期,启蒙时期(1890-1969),低潮时期(1969-1982),复兴时期(1982-1986),新时期(198

2021-04-07 22:53:11 711

原创 RGB2VGA#RGB2YUV

RGB TO VGA fpga IP 模块 ,已封装为VIVADO ipentity rgb2vga is[code=text][/code]Generic (VID_IN_DATA_WIDTH : natural := 24;kRedDepth : natural := 5;kGreenDepth : natural := 6;kBlueDepth : natural :...

2019-12-10 22:44:08 313

原创 基于FPGA的NAND FLASH的高速存储系统

基于NAND FLASH的高速嵌入式系统欢迎使用Markdown编辑器1.2.3.1.2.3.3.1.3.2.嵌入式存储系统的均衡磨损控制NAND Flash芯片对于数据块本身,可根据其使用情况,将其分为四种:已坏块、已用块、有效块和无效块。已坏块是不能再次使用的数据块,已用块则代表了系统中写入了有用数据的数据块,有效块则代表可以向其写入新数据的数据块,无效块则是由已用块转换得来的,代表块中...

2019-12-08 18:17:46 1716

原创 flexray VPX控制板设计方案详细

1设计要求1.1功能要求3U VPX通讯板具有4路CAN,2路 Flex Ray、1路SGMII网口、2路RapidIO2、2路PCIE4、2路IPMI接口整个处理模块系统框图如下:图1 系统框图根据上图,模块可以分为以下几个部分:CAN协议模块:完成CAN协议的转换。PCIE模块:完成高速PCIE协议的数据收发及协议转换。RapidIO模块:完成高速RapidIO数据收发...

2019-10-13 22:25:13 2940

原创 YUVX信号的定义和转换

V4L和V4L2。V4L是Linux环境下开发视频采集设备驱动程序的一套规范(API),它为驱动程序的编写提供统一的接口,并将所有的视频采集设备的驱动程序都纳入其的管理之中。V4L不仅给驱动程序编写者带来极大的方便,同时也方便了应用程序的编写和移植。V4L2是V4L的升级版,由于我们使用的OOB是3.3的内核,不再支持V4L,因而编程不再考虑V4L的api和参数定义。2、YUYV与RGB24...

2019-10-13 22:19:12 864

原创 XILINX FPGA VAVADO设计要点

XILINX FPGA VAVADO设计要点XILINX FPGA VAVADO设计要点Version -20190521 by-chenjunqq:1719577901一、Timing constraints分离LOC约束与timing 约束1、【使用多个XDC约束文件】使用单个约束文件看起来是方便的,但是在设计变得更加复杂时维护将面临挑战2、【独立划分pin.xdc与timing...

2019-10-13 22:17:12 1963 1

upd720201 datasheet pdf

upd720201 datasheet pdf USB3.0 Host Controller μPD720201/μPD720202 含 datasheet 及 fireware

2020-10-21

upd 720201 PCIE TO USB3.0

upd720207 pcie转 4* usb3.0 原理图

2020-10-21

TE 泰科VPX连接器

TE 泰科VPX连接器

2020-10-21

c_wp486-deep-learning-int8.pdf

本白皮书旨在探索实现在赛灵思 DSP48E2 Slice 上的 INT8 深度学习运算,以及与 其他 FPGA 的对比情况。在相同资源数量情况下,赛灵思的 DSP 架构凭借 INT8 在 INT8 深度学习每秒运算次数 (OPS) 上相比其它 FPGA,能实现 1.75 倍的峰值 解决方案级性能。由于深度学习推断可以在不牺牲准确性的情况下使用较低位精 度,因此需要高效的 INT8 实现方案。 赛灵思的 DSP 架构和库专门针对 INT8 深度学习推断进行了优化。本白皮书介绍 如何使用赛灵思 UltraScale 和 UltraScale+ FPGA 中的 DSP48E2,在共享相同内 核权重的同时处理两个并行的 INT8 乘法累加 (MACC) 运算。本白皮书还阐述了 要运用赛灵思这一独特技术,为何输入的最小位宽为 24 位。本白皮书还以 INT8 优化技术为例,展示了该技术与神经网络基本运算的相关性。

2020-04-21

wr6-gs-e_rev_a.pdf

力科示波器手册 waverunner 6000系列 维修手册 This brief guide includes important safety and installation information for your WaveRunner 6000 Series oscilloscope along with brief operating procedures to get you started capturing, viewing, and analyzing your waveforms. The information contained in this guide also appears in greater detail in the on-line Help manual. This searchable manual is resident in the scope and also supplied on CD-ROM, shipped with your scope.

2020-04-21

AN_387 FT600 Data Streamer Application User Guide.pdf

The streamerapplication transfers data to and from the FIFO master via the FT600/FT601. It allows user to measure the performance of FT600/FT601 using a specific packet size and queue size.Note that the performance can vary based on the chip’s configuration settings. Specifically, the Channel Configuration and FIFO Clock settings can increase or decrease the performance. Please refer to the source code for guidanceon how to achieve the most optimal performance

2020-04-21

sd_card_pack_OC120116.pdf

sd slave 控制器描述----------------------------------------------------------------- 以及其结构---------------------------------------------------------------------------- 英文内容----------------------------------------------

2019-12-08

rgb2dvi.rar

RGB TO DVI /HDMI fpga IP 模块 ,k已封装为VIVADO ip,TMDS 4 lane output entity rgb2dvi is Generic ( kGenerateSerialClk : boolean := true; kClkPrimitive : string := "PLL"; -- "MMCM" or "PLL" to instantiate, if kGenerateSerialClk true kClkRange : natural := 1; -- MULT_F = kClkRange*5 (choose >=120MHz=1, >=60MHz=2, >=40MHz=3) kRstActiveHigh : boolean := true); --true, if active-high; false, if active-low Port ( -- DVI 1.0 TMDS video interface TMDS_Clk_p : out std_logic; TMDS_Clk_n : out std_logic; TMDS_Data_p : out std_logic_vector(2 downto 0); TMDS_Data_n : out std_logic_vector(2 downto 0); -- Auxiliary signals aRst : in std_logic; --asynchronous reset; must be reset when RefClk is not within spec aRst_n : in std_logic; --asynchronous reset; must be reset when RefClk is not within spec -- Video in vid_pData : in std_logic_vector(23 downto 0); vid_pVDE : in std_logic; vid_pHSync : in std_logic; vid_pVSync : in std_logic; PixelClk : in std_logic; --pixel-clock recovered from the DVI interface SerialClk : in std_logic); -- 5x PixelClk

2019-12-03

瑞萨UPD720201 用户手册

瑞萨UPD720201 用户手册,User's manual 包含 upd720201 ,upd720202

2019-04-29

GL3224 usb3.0高速读卡器芯片

GL3224 usb3.0高速读卡器芯片 资料 datasheet,usb读卡器原厂文档

2019-04-28

SD卡控制器协议规范

SD卡控制器协议规范,做SD卡控制器读写控制必备文档,

2019-02-14

sd卡最新协议规范

sd卡最新协议规范 高速版本,支持400MB/S,最新的、SD卡控制必备

2019-02-14

dsp2407motor_control _example

伺服电机驱动dsp源代码,dsp2407的电机控制的example源代码工程

2019-02-14

SATA.Storage.Technology.Serial.ATA

sata的协议

2016-12-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除