自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(12)
  • 资源 (1)
  • 收藏
  • 关注

原创 关于Vivado仿真时,时钟信号初值设置方法导致仿真结果不一致的问题分析

关于Vivado仿真时,时钟信号初值设置方法导致仿真结果不一致的问题分析

2022-11-14 13:32:53 3054

原创 Verilog HDL ——if结构用法比较

对比两段代码的写法和Vivado生成的RTL电路图,可以加深对if结构写法的理解。代码1:module mux2_1_32_always(input wire [31:0]a, input wire [31:0]b, input wire s, output reg [31:0]y );always @(*) if (s==0) y=a; else if (s==1) y=b;endmodule图1-代码1在Vivado中得到的RTL电路代码2:module mu.

2021-07-09 22:29:25 2410

原创 C++实现——构造n位数的反序数

/* This is "构造n位数的反序数" Program 例如:输入12345,则输出54321 Author: Gu Hui Date: 2020/3/31 此例问题:输入数据最大只能是2147483631,为什么呢? */#include <iostream>#include <cmath>using namespace std;int g...

2020-03-31 15:41:31 1172

原创 C++写的对比不同数组遍历方式对程序执行时间的影响

#include <iostream>#include <stdlib.h>#include <time.h>using namespace std;int a[20000][20000];//此处选择的数组大小是20000.经测试,如果是50000的话,会提示溢出错误 int c[5][5];//遍历数组A,采用先访问行再访问列的方式...

2020-03-31 08:15:01 379

原创 PHP的配置——php.ini

php.ini是PHP的配置文件,用于加载各种函数库、设置错误级别和设置服务器的时间等。Linux中,php.ini存储于/opt/lampp/etc/php.ini文件夹下。Windows中,php.ini存储于系统盘的windows文件夹下。

2013-12-25 22:58:47 713

原创 Proteus 仿真8086+8255,运行时错误的解决

要实现的功能:通过开关控制流水灯的显示方式。电路原理图:汇编源程序:CODE SEGMENT ASSUME CS:CODESTART:MOV AL,90H OUT 36H,ALAGAIN: IN AL,30H TEST AL,03H JZ EXIT TEST AL,01H JZ DISP_0

2013-11-25 23:56:05 12423 1

原创 一个80x86汇编语言程序的查错

题目:在数据段中有从STRING单元开始存放的100个字节数据,编写程序要求将字符串中的大写字母转换为小写字母,并显示到屏幕上。汇编源程序如下:DATA SEGMENT ; 数据段定义 STRING DB 100 DB ? BUF DB 100 DUP(?) CNT EQU $-BUFDATA ENDSCODE SEG

2013-11-06 23:06:05 1138

原创 如何解决windows7开机后放大镜自动启动的问题

问题:运行windows7系统的电脑启动后,放大镜程序自动启动。解决方法:STEP1:打开:控制面板->轻松访问->优化视频显示       STEP2::在打开的窗口中,取消“启动放大镜”的复选框。

2013-11-05 11:07:58 947

原创 80x86汇编语言程序错误分析

题目:编程从键盘接收一个字符串,存入STRING开始的内存缓冲区,要求统计该字符空格的个数,并在屏幕上统计结果。运行结果有错的源程序如下:DATA SEGMENT ORG 1000 STRING DB 100 DB ? DB 100 DUP(?)DATA ENDSCODE SEGMENT ASSUME CS:CO

2013-10-27 00:12:36 2151

原创 微机原理之汇编语言程序部分的入门实验——DEBUG的使用

汇编语言是学好微机原理的基础,根据笔者多年的经验,要想学会汇编语言,必须经过大量的上机实践训练才行。在上机过程中,DEBUG是必须要掌握的一个调试工具。下面的实验,是为汇编语言初学者设计的一个很好的内容。实验一  调试工具DEBUG的使用一、实验目的与要求掌握调试工具DEBUG的使用方法。二、实验内容1.练习采用DEBUG命令的使用方法。2.用动态调试DEBUG逐条

2013-10-26 23:59:44 4387 2

转载 在博创三剑客up-star2410平台上,做的qt的例子

环境       Xp下装虚拟机,虚拟机上装LINUX,(REHAT或其他)IP地址       Windows:192.168.1.180       虚拟机LINUX:192.168.1.12       开发板:192.168.1.193(原厂设定)目录       虚拟机LINUX下NFS共享目录是:/up-Sta

2013-10-26 23:45:28 1254

原创 80x86汇编语言程序在DOS下无法正常运行,而在DEBUG里可以

昨天遇到一个80x86汇编语言程序在DOS下无法正常运行,而在DEBUG里却可以的问题。出错的程序代码如下:DATA SEGMENT string DB 100 DB ? DB 100 DUP(?) M DB ?DATA ENDSCODE SEGMENT ASSUME CS:CODE,DS:DATASTART: MOV AX,

2013-10-26 23:20:06 1470

proteus下实现的利用8255扫描矩阵式键盘

在proteus 7.5 下做的利用8255扫描矩阵键盘,并在数码管上显示按键的键值。

2010-07-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除