自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

翻译 VHDL-2008: 主要增强内容

引言:VHDL-2008的引入对 VHDL 大部分改动都是有用且重要的,但是相对来说改动都是在简化语法。所以,以下列出了一些主要的增强内容。

2024-06-25 23:11:17 7 1

原创 VSG(VHDL Style Guide) 的使用方法

VSG(VHDL Style Guide)的一些常用控制台命令和使用方法

2024-02-06 19:55:49 338

原创 windows10/11专业版无法开启远程桌面,设置中按钮无法打开

windows10/11专业版无法开启远程桌面,设置中按钮无法打开/显示为灰色

2023-08-02 20:23:19 2134 1

原创 VS Code Verilog 链接 Vivado xvlog 语法纠错

VS Code插件配合Vivado自带的xvlog实现Verilog语法纠错

2023-07-31 16:22:06 1013 1

原创 Anaconda安装torchvision后numpy报错

OSError: [WinError 193] %1 不是有效的 Win32 应用程序。或*mportError: DLL load failed: 找不到指定的模块。

2023-03-24 11:41:17 258 1

原创 Too many positional options when parsing xxx

Vivado 2022.2 错误 [Common 17-165] Too many positional options when parsing ‘Interface.srcs/utils_1/imports/synth_1/SPI_Master.dcp’, please type ‘read_checkpoint -help’ for usage info.解决办法:文件夹或路径中含有空格,去掉空格即可...

2022-07-05 19:27:26 4914 2

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除