FT2004(D2000)开发实战之CPLD固件烧写

本文详细介绍了如何在Windows环境下使用Quartus软件和USB-Blaster下载电缆烧写CPLD固件。从安装Quartus软件、配置USB-Blaster驱动,到连接设备、加载固件文件,最后成功烧写并验证,每个步骤都有清晰的操作说明。特别提示,在烧写飞腾开发板前需对JTAG电路进行相应修改。
摘要由CSDN通过智能技术生成

一 CPLD固件烧写

1.1 Windows电脑安装Quartus软件,安装成功后会生成如下图标
在这里插入图片描述
1.2 准备USB-Blaster下载电缆,具体如下图所所示:
在这里插入图片描述
1.3 安装USB-Blaster驱动程序
1 打开Windows设备管理器,选择带黄叹号的USB-Blaster设备,黄叹号表示没有安装驱动程序
2 右键USB-Blaster设备,点击更新驱动程序,然后选择浏览我的电脑以查找驱动程序®,具体如下图所示:
在这里插入图片描述
3 在浏览框里找到如下目录,点击下一步并且安装该驱动程序
D:\intelFPGA_lite\20.1\quartus\drivers\usb-blaster
在这里插入图片描述
注意:
1 D:\intelFPGA_lite为Quartus软件安装目

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值