自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 资源 (3)
  • 收藏
  • 关注

原创 自动驾驶横纵向耦合控制-复现Apollo横纵向控制,使用mpc算法,一个控制器同时控制横向和纵向

经过测试,该控制器的效果完美。在该方法中,我们使用了控制器的模型来预测下一时刻的状态,并使用最佳的控制策略来控制汽车。本文基于动力学误差模型和mpc算法,开发了一个控制器可以同时控制横向和纵向,实现了横纵向耦合控制。另外,在该控制器的代码设计方面,我们采用了面向对象编程和面向过程编程两种不同的方法。内含三套代码,两套采用面向对象编程-一套只对控制量添加约束,一套对控制量和控制增量均添加约束,另外一套采用面向过程编程。基于动力学误差模型,使用mpc算法,一个控制器同时控制横向和纵向,实现横纵向耦合控制。

2023-08-19 21:11:41 602

原创 两相步进电机FOC矢量控制Simulink仿真模型 采用针对两相步进电机的SVPWM控制算法,实现FOC矢量控制,DQ轴解耦控制

其次,转速电流双闭环控制是现代电机控制的一种先进控制方法,它能够实现对电机的高精度控制。在此基础上,本文还将探讨转速电流双闭环控制的实现,电流环采用PI控制,转速环分别采用PI和自抗扰ADRC控制,分析ADRC控制的优越性。综上所述,本文基于两相步进电机FOC矢量控制实现了Simulink仿真模型,介绍了SVPWM控制算法、DQ轴解耦控制、转速电流双闭环控制等先进控制方法。2.转速电流双闭环控制,电流环采用PI控制,转速环分别采用PI和自抗扰ADRC控制,分析ADRC控制优越性~

2023-08-19 21:10:16 976

原创 MDIN380 SDI转VGA 转LVDS VGA转SDI

高清视频 MDIN380、GV7601 芯片方案(PCB图和源码)。MDIN380和GV7601 都是BGA封装,最好有焊接BGA经验才拍。方案共有两块电路板(一块底板,一块MDIN380核心板 四层板)。MDIN380 SDI转VGA 转LVDS VGA转SDI。此方案是韩国视频处理芯片MDIN380的整合应用方案。其它视频格式转换,视频图像分割、拼接等可定制开发。3G-SDI转VGA或3G-SDI转LVDS。另外有视频处理方面其它需要可联系我定制开发。方案资料含有源码、PCB图。

2023-08-19 21:06:13 171

原创 直驱永磁风电机组并网仿真Simulink模型 基于永磁直驱式风机并网仿真模型 采用背靠背双PWM变流器,先整流,再逆变

在本模型中,采用了背靠背双PWM变流器实现电机侧的有功、无功功率的解耦控制和转速调节。同时,还可以实现直流侧电压控制,并稳定直流电压和网侧变换器有功、无功功率的解耦控制。本文基于这种风机建立了直驱永磁风电机组并网仿真模型,可以有效地模拟风机的运行过程和与电网的互动。同时,本文还介绍了背靠背双PWM变流器的控制方法,以及机侧变流器和后级并网逆变器的控制策略。不仅实现电机侧的有功、无功功率的解耦控制和转速调节,而且能实现直流侧电压控制并稳定直流电压和网侧变换器有功、无功功率的解耦控制。

2023-08-19 21:05:40 498

原创 程序采用改进粒子群算法,考虑时序性得到分布式和储能的选址定容模型 DG储能选址定容模型matlab

通过迭代更新粒子的位置和速度,程序寻找最优解,并输出结果和绘制图形。接下来是主要的迭代过程。在每次迭代中,程序计算了每个粒子的适应度,并更新个体最佳位置和全局最佳位置。首先,程序开始时加载了一些数据文件,包括gfjl、fljl、fhjl1、cjgs和fhbl。在每次迭代中,程序还进行了一些额外的计算,如潮流计算、储能约束等。接下来是一些参数的设置,包括三种蓄电池的参数矩阵、迭代次数、种群大小、速度更新参数、惯性权重、储能动作策略和限制条件等。然后,程序进行了一些初始化操作,包括初始化种群、速度和适应度等。

2023-08-19 21:04:33 53

原创 新能源汽车整车控制器VCU学习模型含高压上下电,行驶模式管理,能量回馈

本款学习模型的功能丰富,易于上手,对于初学整车控制器自动代码生成或刚接触整车控制器的工程师有很大的帮助。该模型包含高压上下电、行驶模式管理、能量回馈、充电模式管理、附件管理、远程控制、诊断辅助功能等多个功能模块,覆盖了整车控制器中的核心功能。为了帮助用户更好地理解整车控制器VCU学习模型,我们提供了详细的软件说明书,其中包含了控制策略说明书,让用户可以更加深入地了解模型的控制策略和实现原理。1、模型包含高压上下电,行驶模式管理,能量回馈,充电模式管理,附件管理,远程控制,诊断辅助功能。

2023-08-19 21:02:43 251

基于遗传算法的电动汽车有序充电优化调度 软件:Matlab 利用遗传算法对电动汽车有序充电进行优化;优化目标包括充电费用最低,充

基于遗传算法的电动汽车有序充电优化调度 软件:Matlab 利用遗传算法对电动汽车有序充电进行优化;优化目标包括充电费用最低,充电时间达到要求(电动汽车充到足够的电)考虑电动汽车充电对电网负荷的影响,使负荷峰谷差最小。 分别利用传统、精英和变异遗传算法进行对比算法优劣,比较迭代结果,优化变量为起始充电时刻

2023-07-15

欧姆龙CP1H与力士乐VFC-x610变频器通讯程序功能:原创程序,可直接用于现场程序 欧姆龙CP1H的CIF11通讯板,实现

欧姆龙CP1H与力士乐VFC-x610变频器通讯程序功能:原创程序,可直接用于现场程序。 欧姆龙CP1H的CIF11通讯板,实现对力士乐VFC-x610变频器 设定频率,控制正反转,读取实际频率,读取输出电压,变频器状态功能。 反应灵敏,通讯稳定可靠。 后续可根据需要扩展台数时,非常灵活方便。 器件:欧姆龙CP1H,CP1W CIF11串口网关板,3台力士乐VFC-x610变频器,昆仑通态TPC7062KD触摸屏。 说明:是程序,带注释,带变频器手册,接线,参数设置都提供。 通讯稳定可靠,实用有效。

2023-07-14

基于卷积-长短期记忆网络加注意力机制(CNN-LSTM-Attention)的时间序列预测程序,预测精度很高 可用于做风电功率

基于卷积-长短期记忆网络加注意力机制(CNN-LSTM-Attention)的时间序列预测程序,预测精度很高。 可用于做风电功率预测,电力负荷预测等等 标记注释清楚,可直接换数据运行。 代码实现训练与测试精度分析。 这段程序主要是一个基于CNN-LSTM-Attention神经网络的预测模型。下面我将逐步解释程序的功能和运行过程。 1. 导入所需的库: - matplotlib.pyplot:用于绘图 - pandas.DataFrame和pandas.concat:用于数据处理 - sklearn.preprocessing.MinMaxScaler:用于数据归一化 - sklearn.metrics.mean_squared_error和sklearn.metrics.r2_score:用于评估模型性能 - keras:用于构建神经网络模型 - numpy:用于数值计算 - math.sqrt:用于计算平方根 - attention:自定义的注意力机制模块 2. 定义一个函数mae_value(y_true, y_pred)用于计

2023-07-14

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除