Hibernate4.3.5创建SessionFactory时"hibernate.dialect" not set问题

hibernate4创建SessionFactory的方法和hibernate3有所不同。

在hibernate3中可以通过如下方式获得SessionFactory:

public class HibernateUtil {
	
	private static SessionFactory sessionFactory;

	static {
           try {
             sessionFactory = new Configuration().configure().buildSessionFactory();
           } catch (Throwable ex) {
            throw new ExceptionInInitializerError(ex);
           }
         }
}
但是在hibernate4中configure方法不建议被使用。

在hibernate4.3.5官方文档中给出了如下一种获得SessionFactory的方式:

public class HibernateUtil {

    private static final SessionFactory sessionFactory = buildSessionFactory();

    private static SessionFactory buildSessionFactory() {
        try {
            // Create the SessionFactory from hibernate.cfg.xml
            new Configuration().configure().buildSessionFactory(
			    new StandardServiceRegistryBuilder().build() );
        }
        catch (Throwable ex) {
            // Make sure you log the exception, as it might be swallowed
            System.err.println("Initial SessionFactory creation failed." + ex);
            throw new ExceptionInInitializerError(ex);
        }
    }

    public static SessionFactory getSessionFactory() {
        return sessionFactory;
    }

}
在实际使用中采取上述方式会报错(同时它的buildSessionFactory方法也没有返回值):

Initial SessionFactory creation failed.org.hibernate.HibernateException: Access to DialectResolutionInfo cannot be null when 'hibernate.dialect' not set
从字面上理解是当hibernate.dialect没有设置时DialectResolutionInfo不能为null。

为解决这个问题,可以使用如下的方式:

public class HibernateUtil {

	private static SessionFactory sessionFactory;

	static {
		try{
			Configuration configuration = new Configuration().configure();
			ServiceRegistry serviceRegistry = new StandardServiceRegistryBuilder().applySettings(configuration.getProperties()).build();
			sessionFactory  = configuration.buildSessionFactory(serviceRegistry);
			
		} catch(Exception ex) {
			System.err.println("Initial SessionFactory creation failed." + ex);
            throw new ExceptionInInitializerError(ex);
		}
		
	}
}
StandardServiceRegistryBuilder类可以提供一个标准的ServiceRegistry实例。applySettings(Map settings)将一组设置的属性值加载进来。

通过如上方法,在hibernate.cfg.xml文件配置正确的前提下,获取SessionFactory时将不会再提示“Initial SessionFactory creation failed.org.hibernate.HibernateException: Access to DialectResolutionInfo cannot be null when 'hibernate.dialect' not set”。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值