基于K7和AD9361的简易BPSK通信系统 第八章 1比特位同步与误码率测试

载波同步后,输出12比特量化数据。本简易BPSK通信实验只需要保留最高位即符号位,大家还记得符号映射那一章讲过,接收解调端载波同步后只需要提取符号位就可以判决数据0和1。

但是只有数据还是有局限性,还需要与本地码时钟对齐,即位同步。本次实验采用简易的1比特超前滞后位同步器完成。

位同步后的数据与时钟,输入至PN11校验器做误码率测试,原理请查看第四章。

由图中可以看到,短时误码率测试结果为0,基于K7和AD9361的简易BPSK通信系统工作正常。

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

FPGACores

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值