代码随想录D25

本文介绍了在LeetCode中解决的两个问题,分别是使用回溯算法的216.组合总和III和17.电话号码的字母组合,通过backtracking方法实现求解。
摘要由CSDN通过智能技术生成

 216.组合总和III 

class Solution {
private:
    vector<int> set;
    vector<vector<int>> result;
    int startIndex = 1;
    int sum = 0;
public:
    vector<vector<int>> combinationSum3(int k, int n) {
        if(k * (k + 1) / 2 > n) return {};
        backtracking(k, n, 1, k);
        return result;
        
    }

    void backtracking(int k, int n, int startIndex, int count){
        if(set.size() == k){
            if(sum == n) result.push_back(set);
            return;
        }

        for(int i = startIndex; i <= 10 - count; i++){
            set.push_back(i);
            sum += i;
            backtracking(k, n, i + 1, count - 1);
            set.pop_back();
            sum -= i;
        }
    }
};

 17.电话号码的字母组合 

class Solution {
private:
    unordered_map<int, string> hash;
    string set;
    vector<string> result;

public:
    vector<string> letterCombinations(string digits) {
        if(digits.empty()) return{};
        hash[2] = "abc";
        hash[3] = "def";
        hash[4] = "ghi";
        hash[5] = "jkl";
        hash[6] = "mno";
        hash[7] = "pqrs";
        hash[8] = "tuv";
        hash[9] = "wxyz";
        backtracking(digits, 0);
        return result;
    }

    void backtracking(const string &digits, int startIndex){
        if(set.length() == digits.length()){
            result.push_back(set);
            return;
        }

        string str = hash.find((int)(digits[startIndex] - '0'))->second;

        for(char s : str){
            set += s;
            backtracking(digits, startIndex + 1);
            set.pop_back();
        }
    }
};

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 以下是一个简单的Verilog代码,用于实现流水灯效果: module led_sequence( input clk, // 时钟信号 output reg [7:] led // LED输出 ); reg [25:] counter; // 计数器 always @(posedge clk) begin if (counter == 26'd) begin led <= 8'b00000001; // 第一个LED亮 end else if (counter == 26'd25) begin led <= 8'b10000000; // 最后一个LED亮 counter <= 26'd; // 重置计数器 end else begin led <= led << 1; // LED序列左移一位 end counter <= counter + 1; // 计数器加1 end endmodule 这个代码使用一个计数器来控制LED序列的移动。当计数器为时,第一个LED亮;当计数器为25时,最后一个LED亮,并且计数器被重置为;否则,LED序列向左移动一位。时钟信号用于驱动计数器和LED输出。 ### 回答2: Verilog是数字电路设计中最常见的硬件描述语言之一,主要用于各种数字系统设计中。在这里,我们将向你们介绍Quartus流水灯代码Verilog。 首先,什么是流水灯?流水灯是一种LED灯串联起来的电路,可以实现多种各异的效果。通常情况下,流水灯可以展现出非常美丽的流光效果。那么如何使用Quartus进行流水灯代码Verilog设计呢? 首先,我们需要理解什么是Verilog。Verilog是一种硬件描述语言,主要用于各种数字系统设计中。Quartus是Verilog常用的软件,可以用于数字电路的仿真和逻辑设计等。 接下来,我们可以使用Verilog写一个简单的流水灯代码。该代码的基本结构如下所示: module shift_register( input clock, output reg [7:0] led ); reg [7:0] shift_reg; always @(posedge clock) begin shift_reg[7:1] <= shift_reg[6:0]; shift_reg[0] <= shift_reg[7]; end assign led = shift_reg; endmodule 在这里,我们首先定义了一个模块shift_register。在该模块中,有一个时钟输入(clock)和LED输出(led),以及一个8位移位寄存器(shift_reg)。在always块中,我们使用了边沿触发器的原理,并将8位的移位寄存器左移一位,同时将shift_reg[0]指定为shift_reg[7]。最后,我们使用assign指定led输出等于shift_reg。这个Verilog代码就完成了。 在Quartus中,我们可以打开该代码并进行仿真。我们可以将时钟输入时序添加到测试台,并查看输出结果。在Quartus中,我们还可以将代码编译成电路图,并对电路图进行硬件设计。 总之,Quartus流水灯代码Verilog可以很好地帮助我们设计出一个美丽的流水灯电路。只需要按照上述步骤进行一些简单的设置,就可以成功地实现这个数字电路的设计和仿真了。 ### 回答3: Verilog是一种硬件描述语言,常被用于数字电路的设计和实现。Quartus是一款常用的Verilog开发工具,可以帮助设计师快速地实现电路设计,其中流水灯是一种基础的数字电路设计,下面给出Quartus流水灯代码verilog,希望能够帮助到大家。 首先,我们需要定义一些变量,包括时钟信号、输入信号和输出信号: ``` module led_controller( input clk, input rst_n, input [7:0] data_in, output reg [7:0] led_out ); ``` 其中,clk为时钟信号,rst_n为重置信号,data_in为输入信号,led_out为输出信号。 然后,我们需要在模块中声明一些寄存器变量,用于存储数据: ``` reg [7:0] data_reg [0:3]; ``` 其中,data_reg为一个数组类型的寄存器变量。数组大小为4,表示我们有四个寄存器,每一个寄存器可以存储一个8位的数据。 接下来,我们需要定义一个状态机,用于控制流水灯的亮灭: ``` reg [1:0] state; parameter S0 = 2'b00; parameter S1 = 2'b01; parameter S2 = 2'b10; parameter S3 = 2'b11; ``` 其中,state为状态机变量,是一个2位的二进制数。我们还定义了一些参数,用于表示状态机的不同状态。 然后,我们需要根据状态机的状态,控制不同的输出信号: ``` always @ (posedge clk or negedge rst_n) begin if (!rst_n) begin state <= S0; led_out <= 8'b00000000; end else begin case(state) S0: begin data_reg[0] <= data_in; led_out <= data_reg[3]; state <= S1; end S1: begin data_reg[1] <= data_reg[0]; led_out <= data_reg[3]; state <= S2; end S2: begin data_reg[2] <= data_reg[1]; led_out <= data_reg[3]; state <= S3; end S3: begin data_reg[3] <= data_reg[2]; led_out <= data_reg[3]; state <= S0; end endcase end end ``` 在时钟信号的上升沿触发的always块中,我们先对rst_n进行判断,如果rst_n为0,则将状态机重置为S0,并且led_out输出0;否则,根据当前的状态机状态,进行不同的操作。 在状态S0中,我们将data_in的值存储到第一个寄存器data_reg[0]中,并将led_out输出data_reg[3]的值,最后将状态机切换到下一个状态S1。 在状态S1~S2中,我们将前一个寄存器中的值存储到当前的寄存器中,并将led_out输出data_reg[3]的值,最后将状态机切换到下一个状态。 在状态S3中,我们将第三个寄存器中的值存储到最后一个寄存器data_reg[3]中,并将led_out输出data_reg[3]的值。此时,状态机已经完成了一个完整的循环,将其切换到状态S0,重新开始流水灯的亮灭。 至此,我们已经成功地实现了Quartus流水灯代码verilog。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值