基于FPGA的均值滤波器

前言

本文正在整理中。。。。。

 

均值滤波器原理

        在图像上对目标像素给一个模板,该模板包括了其周围的临近像素(以目标象素为中心的周围 8 个像素,构成一个滤波模板,即去掉目标像素本身),再用模板中的全体像素的平均值来代替原来像素值,也就是对这九个数求个平均值代替中间的那个数。

         在FPGA中我们为了简便运算只将目标像素周围八个点求和然后除以8,取代目标像素点。

 

 

 

FPGA实现均值滤波器

 

 

 

参考文献

[1] libing64   : https://blog.csdn.net/renshengrumenglibing/article/details/8872805

[2] 数字图像处理(MATLAB版)冈萨雷斯 

[3]《数字图像处理(第三版)冈萨雷斯》

[4]   https://www.cnblogs.com/aslmer/p/5779079.html

[5]  https://wenku.baidu.com/view/a8d3622b1eb91a37f1115cdd.html

 

 

 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值