自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 收藏
  • 关注

原创 2020-08-15

HDMI显示实验在vivado中文件的层次机构如下其中VGA_shift为VGA模块生成的888+2RGB数据和Hs,Vs行核场同步信号encode为8bits转10bits译码器parallel_to_serial为10bits转1bit的并行转串行差分输出转换器HDMI_CLK输出VGA并行时钟与HDMI串行时钟HDMI.V代码如下:module HDMI( input wire rst_n, input wire clk, output wire hdmi_oen

2020-08-15 13:13:57 155 1

原创 2020-08-12

每隔10msled开始变化,形成呼吸灯,灯在2秒内变亮后逐渐变暗再变亮`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 18:14:01 08/12/2020 // Design Name: module huxi_light( input

2020-08-12 21:10:57 98

原创 2020-08-06

饮料售卖机三个按键,复位键,5毛投币键 1元投币键,当投入5毛亮一个灯,1元两两个灯,1.5元亮三个灯,4元亮4个灯;2.5元灯单向闪烁,代表出饮料,3元双休闪烁,代表处硬币和找零钱;售卖机控制层代码如下所示:`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Dat

2020-08-06 21:09:36 116

原创 2020-08-06

VGA显示RGB颜色VGA(Video Graphics Array)做为视频输出输入接口已经广泛使用很长时间,主要通过红、绿、蓝三原色的模拟量传输。本实验通过在 VGA 屏幕上显示彩条,来练习视频的时序和视频颜色的表示,为后面视频处理实验做个基础。VGA 显示器扫描方式从屏幕左上角一点开始,从左向右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT 对电子束进行消隐,每行结束时,用行同步信号进行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏

2020-08-06 20:10:11 117

原创 异步FIFO的实现

写进FIFO数据时钟为clk.assp(5MHz),读入FIFO数据时钟为clk.sys(50MHz);写入的数据为rom中depth=32,wedth=16的数据;rom中原数据为8bits,需将气如fifo_input同步,将rom数据其改为16bits`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////module fifo_test( i

2020-07-25 10:53:42 981

原创 XILINX 简单的FIFO

加入IP核,设置IP如下top代码如下:代码为在depth写full1满后读,empty1后读,依次循环`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 20:40:18 07/24/2020 // Design Name: ro.

2020-07-24 23:54:55 438

原创 RAM IP核的简单运用

`timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////// Company: // Engineer: // // Create Date: 22:45:52 07/23/2020 // Design Name: robetwu// Module Name: ram_test // Project Name: // Target

2020-07-24 00:58:27 493

原创 Chipscope 工具调试和查看XILINX FPGA 内部的信号

top层代码如下;代码意义是运用IIC写入EEPROM 24LC04芯片,然后读取其值在数码管上显示module lc04b_IIC( input clk, input rst_n, inout i2c_scl, inout i2c_sda, input key1, output [5:0] seg_sel, output [7:0] seg_data ); localparam S_IDLE = 0;localparam S_READ

2020-07-22 00:13:00 1336

原创 verlog简单的SPI收发接受器

verlog简单的SPI收发接受器主程序代码如下/***********************************作者:robetwu时间:2020.7.15环境:Quartus 13.0发送和接受缓存器可以使用memory*******************/module sample_spi(clk,rst_n,wr,rd,si,sclk,so,cs,data_out,data_receive_buff);input clk;input rst_n;input wr;i

2020-07-15 01:01:49 573

原创 verlog产生随机数

verlog产生随机数module ram(clk,load,rst_n,seed,ran_num);input clk,rst_n,load;output reg [7:0] ran_num;input [7:0]seed;integer i;always@(posedge clk or negedge rst_n)if(!rst_n) ran_num<=8'b0;else if(load) ran_num<=seed;else begin for(i=1;i&l

2020-07-10 20:21:22 602

原创 verlog得到不同频率和脉宽的PWM

得到想要的PWM频率/***************模块clkfs 为了得到20的时钟,也可以用PLL***************/module clkfs(clk_20m,rst_n,clk_20k); input clk_20m; input rst_n; output reg clk_20k; reg [9:0] cnt;//parameter clock_20k=10'd99;//源时钟为20M always@(posedge clk_20m or negedge rst_

2020-07-09 23:19:16 390

原创 verlog按键防抖程序

verlog按键防抖程序//////////////////////////////////////////////////////////////////////////////////// ////

2020-07-09 22:12:19 295

原创 pandas对于文件的基本操作

@python中pandas对于CSV文件的基本操作在这里插入代码片欢迎使用Markdown编辑器在这里插入代码片你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Markdown编辑器, 可以仔细阅读这篇文章,了解一下Markdown的基本语法知识。新的改变我们对Markdown编辑器进行了一些功能拓展与语法支持,除了标准的Markdown编辑器功能,...

2020-07-09 19:51:44 249

原创 verlog任意奇数和偶数分频

任意的verlog奇数和偶数分频/******************作者:robetwu时间:2020/7/9运行环境:Quartus II 13.0*******************/module Five_div(input clk,rst_n,output reg clk_div//5分频时钟只能用于奇数分频);reg clk_pose;reg clk_nege;reg clk_oushu;parameter fenpin=7;//fenpin值parameter

2020-07-09 19:51:12 233

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除