verlog产生随机数

verlog产生随机数

module ram(clk,load,rst_n,seed,ran_num);

input clk,rst_n,load;
output reg [7:0] ran_num;
input [7:0]seed;
integer i;
always@(posedge clk or negedge rst_n)
if(!rst_n)
	ran_num<=8'b0;
else if(load)
	ran_num<=seed;
else
	begin
		for(i=1;i<8;i=i+1)
			ran_num[i]<=ran_num[i-1];
		ran_num[0]<=ran_num[7]^(ran_num[2]^(ran_num[3]^ran_num[4]));
	end


endmodule

seed为输入数,load为将输入载入使能
以下为测试文件

`timescale 1ns/1ns
module ram_tb;
	reg clk,rst_n,load;
	wire [7:0] ran_num;
	reg [7:0]seed;
	
	
	ram ram_inst(
				.clk(clk),
				.rst_n(rst_n),
				.load(load),
				.seed(seed),
				.ran_num(ran_num));			
				
	initial 
	begin
		clk=0;rst_n=0;
		#10 rst_n=1;
		#10 seed={$random}%125;
		#10 load=1;
		#10 load=0;		
		#1000;	
	end
	
	initial
	$monitor("time %d\ran_num %b",$time,ran_num);
	
always #10 clk=~clk;	
endmodule

在这里插入图片描述

在这里插入图片描述

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值