自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

小团的博客

一枚程序猿

  • 博客(23)
  • 资源 (7)
  • 收藏
  • 关注

转载 sql总结

版权声明:本文为转载文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明...

2019-09-26 13:14:29 214

原创 C++ 常用STL总结

借鉴了https://www.cnblogs.com/happy-MEdge/p/11000156.html#7,https://blog.csdn.net/weixin_41162823/article/details/79759081两位大佬,感谢Table of Contents1.容器2. String3.vector4.map5.queue6.sort...

2019-09-10 23:15:21 261

转载 从Word Embedding到Bert模型—自然语言处理中的预训练技术发展史

原文张俊林大大:https://zhuanlan.zhihu.com/p/49271699Bert最近很火,应该是最近最火爆的AI进展,网上的评价很高,那么Bert值得这么高的评价吗?我个人判断是值得。那为什么会有这么高的评价呢?是因为它有重大的理论或者模型创新吗?其实并没有,从模型创新角度看一般,创新不算大。但是架不住效果太好了,基本刷新了很多NLP的任务的最好性能,有些任务还被刷爆了,这个...

2019-06-06 23:23:10 275

转载 注意力机制(Attention Mechanism)在自然语言处理中的应用

转:https://www.cnblogs.com/robert-dlut/p/5952032.html 注意力机制(Attention Mechanism)在自然语言处理中的应用 注意力机制(Attention Mechanism)在自然语言处理中的应用     近年来,深度学习的研究越来越深入,在各个领域也都获得了不少突破性的进展。基于注意力(attent...

2019-06-06 11:37:40 341

转载 排序算法

0、排序算法说明0.1 排序的定义对一序列对象根据某个关键字进行排序。0.2 术语说明稳定:如果a原本在b前面,而a=b,排序之后a仍然在b的前面;不稳定:如果a原本在b的前面,而a=b,排序之后a可能会出现在b的后面;内排序:所有排序操作都在...

2019-06-02 20:59:19 231

转载 机器学习数据处理方法

http://www.cnblogs.com/jasonfreak/p/5448385.html目录1 特征工程是什么?2 数据预处理  2.1 无量纲化    2.1.1 标准化    2.1.2 区间缩放法    2.1.3 标准化与归一化的区别  2.2 对定量特征二值化  2.3 对定性特征哑编码  2.4 缺失值计算  2.5 数据变换  2.6 回顾3 特征选择  3.1 Filt...

2019-05-13 22:33:33 2424 1

转载 panda数据处理

转自:https://blog.csdn.net/Dorisi_H_n_q/article/details/82313244,进行了整理结合 1、删除重复元素使用duplicated()函数检测重复的行,返回元素为布尔类型的Series对象,每个元素对应一行,如果该行不是第一次出现,则元素为Trueimport numpy...

2019-05-07 23:50:03 3289

转载 循环神经网络中Dropout的应用(转)

https://blog.csdn.net/wangli0519/article/details/75208155 循环神经网络(RNNs)是基于序列的模型,对自然语言理解、语言生成、视频处理和其他许多任务至关重要。模型的输入是一个符号序列,在每个时间点一个简单的神经网络(RNN单元)应用于一个符号,以及此前时间点的网络输出。RNNs是强大的模型,在许多任务...

2019-05-05 22:58:10 218

原创 词性标注列表

Clause LevelS - simple declarative clause, i.e. one that is not introduced by a (possible empty) subordinating conjunction or a wh-word and that does not exhibit subject-verb inversion.SBAR - Claus...

2019-04-09 00:01:32 648

转载 通俗理解LDA主题模型(转载自 v_JULY_v 大佬)

      通俗理解LDA主题模型 原文:https://blog.csdn.net/v_july_v/article/details/41209515 0 前言    印象中,最开始听说“LDA”这个名词...

2019-02-24 23:07:12 662

转载 各种数据类型取值

转:https://blog.csdn.net/mafuli007/article/details/7325510速查表:char -128 ~ +127 (1 Byte)short -32767 ~ + 32768 (2 Bytes)unsigned short 0 ~ 65536 (2 Bytes)int -2147483648 ~ +2147483647 (4 Bytes)u...

2018-12-23 10:48:50 590

原创 窗方法原理之矩形窗及汉明窗

窗口设计法        窗口设计法是从单位脉冲响应着手,使h(n)逼近理想的单位脉冲响应序列hd(n)。我们知道hd(n)可以通过对理想频响求DTFT反变换获得        理想频响是分段恒定的,在边界频率处有突变点,所以,这样得到的理想滤波器单位脉冲响应hd(n)是无限长序列,而且是非因果的。但FIR的h(n)是有限长的,怎样用一个有限长的序列去近似无限长的hd(n)?最简单的办...

2018-12-04 15:31:06 44270 4

转载 回归问题的数据处理

Despite the strange names I gave to the chapters, what we are doing in this kernel is something like: Understand the problem. We'll look at each variable and do a philosophical analysis about ...

2018-11-23 19:33:35 3191

原创 xilinx驱动问题,Connecting to hw_server url TCP:localhost:3121,jtag连接不上

非常有用,困扰几个小时的问题亲测解决,希望别跟我一样踩坑描述This Answer Record gives an overview of how to manually install Xilinx Programming Cable drivers for Xilinx ISE software.解决方案The Xilinx ISE Design Suite installer...

2018-11-12 22:49:39 12326 3

原创 xgboost原理及python实现

目录 xgboost原理xgboost的python实现(Aarshay Jain的文章)1. The XGBoost Advantage2. XGBoost ParametersGeneral ParametersBooster ParametersLearning Task Parameters3. Parameter Tuning with Example...

2018-11-07 15:38:52 3433 1

转载 latex手册

函数、符号及特殊字符 声调语法 效果 语法 效果 语法 效果 \bar{x} \acute{\eta} \check{\alpha} \grave{\eta} \breve{a} \ddot{y} \dot{x} \hat{\alpha} \tild...

2018-10-31 17:16:14 2064

原创 数据处理操作补充

数据处理补充初始的train和test 可以通过data = pd.concat([train,test],ignore_index=True,sort=False)进行合并,为后面处理会简化后期的拆开:train = data.loc[data['source']=='train']test = data.loc[data['source']=='test']数据初览:...

2018-10-30 23:17:41 454

原创 latex 数学符号

注:该文摘自刘海洋的LaTex入门对齐:居中:\begin{center}----\end{center},左对齐和右对齐为fllushleft和flushrightlatex的数学符号表达: 算子大小可变算子:其中需要大的需要加big,二元逻辑或运算符\vee和逻辑或巨运算符\bigvee,另外需要上下标为_和^,其中微分为\diff 不带上下限算子:...

2018-10-27 10:47:18 18553 1

原创 kaggle泰坦方法总结

   目录 Data Workflow stages Question and problem definition import python package and analysis data(导入和初步分析数据) Analyze data(分析数据) Analyze by pivoting features(数据表格) Analyze by visual...

2018-09-29 16:51:11 1239

转载 浅谈p-value

转至:https://www.jianshu.com/p/4c9b49878f3d硬币有正反两面,在概率中我们知道,出现正反面的概率各为50%(1/2),所以作为一个正常的硬币,如果我们投无限次后,结果一定会是正反各占50%。但是,如果我想知道自己手中的硬币,到底是不是正常的硬币,有没有做过手脚,在实际操作中是没办法投掷无限次的。因此,我们只能用有限的结果来判断“硬币是否为常规硬币”这个问题的...

2018-09-15 16:25:30 11437 1

转载 smo第一变量选择方法

在看李航编写的《统计学习方法》一书中第128页时,涉及到SMO算法中第一个变量的选择,然后作者指出选择不满足KKT条件的变量作为第一个变量,然后突然给出了如下三个KKT条件: αi=0⇔yig(xi)≥1(1)αi=0⇔yig(xi)≥1(1)0<αi<C⇔yig(xi)=1(2)0<αi<C⇔yig(xi)=1(2)αi=C⇔yig(xi)≤1(3)αi=C⇔yig(xi...

2018-07-15 20:20:01 1385

转载 KKT条件

在求取有约束条件的优化问题时,拉格朗日乘子法(Lagrange Multiplier) 和KKT条件是非常重要的两个求取方法,对于等式约束的优化问题,可以应用拉格朗日乘子法去求取最优值;如果含有不等式约束,可以应用KKT条件去求取。当然,这两个方法求得的结果只是必要条件,只有当是凸函数的情况下,才能保证是充分必要条件。KKT条件是拉格朗日乘子法的泛化。之前学习的时候,只知道直接应用两个方法,但是却...

2018-07-15 17:37:51 4530 1

转载 (转)字符串核函数

原文:https://blog.csdn.net/shijing_0214/article/details/51134802?locationNum=2&fps=1前面讲支持向量机的时候,提到了核函数,通过核函数可以实现特征点的非线性转换,从而实现分类。 字符串核函数也是一种核函数,但它与一般的核函数不同。其他核函数一般定义在欧氏空间上,而字符串核函数是定义在字符串集合上的核函数。字符串核...

2018-07-15 11:18:21 1889

python深度学习(肖莱,张亮)

包含pdf及代码,主要包括深度学习的知识,其中主要利用keras进行操作,对keras的学习有很大帮助

2019-05-06

vivado高层次综合手册

Chapter 1: High-Level Synthesis Introduction to C-Based FPGA Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 Understanding Vivado HLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 Using Vivado HLS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 Data Types for Efficient Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 Managing Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 Design Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 RTL Verification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 Exporting the RTL Design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 Chapter 2: High-Level Synthesis C Libraries Introduction to the Vivado HLS C Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Arbitrary Precision Data Types Library. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 HLS Stream Library. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219 HLS Math Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226 HLS Video Library. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236 HLS IP Libraries. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253 HLS Linear Algebra Library. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278 HLS DSP Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295 Chapter 3: High-Level Synthesis Coding Styles Introduction to Coding Styles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297 Unsupported C Constructs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297 C Test Bench. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302 Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312 Loops. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314 Arrays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324 Data Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333 Hardware Efficient C Code. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363 C++ Classes and Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382 Assertions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390 SystemC Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393 Send Feedback High-Level Synthesis www.xilinx.com 5 UG902 (v2015.4) November 24, 2015 Chapter 4: High-Level Synthesis Reference Guide Command Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414 GUI Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484 Interface Synthesis Reference. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488 AXI4-Lite Slave C Driver Reference. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 507 HLS Video Functions Library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521 HLS Linear Algebra Library Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580 HLS DSP Library Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589 C Arbitrary Precision Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605 C++ Arbitrary Precision Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619 C++ Arbitrary Precision Fixed-Point Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 638 Comparison of SystemC and Vivado HLS Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 662 Appendix A: Additional Resources and Legal Notices Xilinx Resources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670 Solution Centers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670 Training Resources. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 671 Please Read: Important Legal Notices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 671

2018-11-05

Xilinx Zynq-7000 嵌入式系统设计与实现 基于ARM Cortex-A9双核处理器和Vivado的设计方法

Xilinx Zynq-7000 嵌入式系统设计与实现 基于ARM Cortex-A9双核处理器和Vivado的设计方法

2018-11-05

深入浅出玩转FPGA_高清

吴厚航的深入浅出玩转fpga,适合刚入门同学以及对部分功能感兴趣同学

2018-11-05

统计学习方法(李航)

统计学习方法(李航),主要包括机器学习常见算法,是一本好书

2018-11-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除