自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

菠萝菠萝哒X

(;¬_¬) 中二病晚期的二次元科技宅[V6担],“talk is cheap,show me the code”

  • 博客(12)
  • 资源 (7)
  • 收藏
  • 关注

原创 VMware下Ubuntu调用USB摄像头出现 v4l2:oops:select timeout解决办法

在安装好xawtv 应用后,使用该应用程序打开已接入PC的USB摄像头,出现错误: v4l2:oops:select timeout,且界面显示的图像卡顿,如下图所示: 终端显示状态如下: v4l2:oops:select timeout v4l2:oops:select timeout v4l2:oops:select timeout解决办法: 修改虚拟机设置,将USB控...

2018-04-28 22:41:09 4103

原创 关于Ubuntu 16.04无法安装xawtv应用的解决办法

在Ubuntu 16.04安装 xawtv应用时出现了以下图片中的情况: 解决办法: 1、登录:http://repogen.simplylinux.ch/; 2、选择地区,与你使用的Ubuntu相近的版本,操作中使用的是Ubuntu 16.04; 3、在“Ubuntu Branches”选项栏中勾选四组选框的第一项; 4、拉到页面底,点击“Generate List”生成资源列...

2018-04-28 20:07:42 561

原创 VHDL---基于状态机的十进制加法计数器

状态机由状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。状态机简写为FSM(Finite State Machine),主要分为2大类:第一类,若输出只和状态有关而与输入无关,则称为Moore状态机; 第二类,输出不仅和状态有关而且和输入有关系,则称为Mealy状态机。 程序的状态转换图如下: 代码工程由四部...

2018-04-28 16:55:36 9309 1

原创 VHDL---双边沿触发加法计数器与七段数码管显示

使用拨位开关作为手动时钟,实现双边沿触发加法计数器,将上升沿与下降沿分别加法计数。该项目工程主要由:顶层文件、分频元件、加法计数器和数码管显示元件组成。1)顶层文件:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;ent...

2018-04-27 21:12:22 2432

原创 VHDL---基于拨位开关的音符发声

理解蜂鸣器奏乐的实现原理,将三个拨位开关所代表的0~7,然后将拨位开关所代表的结果作为音频输出的选择条件;实现程序主要由:顶层文件、分频元件、蜂鸣器元件构成。顶层文件:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity top is port ( ...

2018-04-27 19:18:08 1444 1

原创 基于matlab的数字图像处理---图像的锐化与边缘提取

相关原理:1、频率提升——将原图像与边缘信息加权;2、高频增强——改变滤波模板直接实现边缘增强;3、一阶算子具备梯度方向信息,但是对丰富的高频纹理信息不敏感,适合于需要对边缘后续处理的应用;4、 二阶算子对高频纹理信息敏感,但是无法获得边缘的梯度信息,适合于仅需要边缘位置信息的应用。程序代码实现如下:img_rgb = imread('123.jpg');img = rgb2gray(img_r...

2018-04-24 12:23:04 20680 2

原创 基于matlab的数字图像处理---图像滤波(高斯噪声、椒盐噪声,高斯滤波、中值滤波)

对图像进行添加高斯噪声、椒盐噪声处理之后,使用高斯滤波、中值滤波方式对添加噪声的图像进行滤波处理,实现程序如下:clcP = imread('原始图像.jpg'); % 读入图像figureimg=rgb2gray(P);imwrite(img,'甲.png');imshow(img)title('原始图像')img1=imnoise(img,'salt & pepper'...

2018-04-24 12:16:59 16971 1

原创 基于matlab的数字图像处理--对比度增强

通过使用matlab将图片的对比度提升。程序如下:% 通过灰度直方图的数据显示该图像的灰度值整体偏高,图像过于明亮,% 所以选用 γ > 1 的伽马变换% 降低图像的亮度,提升图片的对比度。clcimg1 = imread('einstein.tif'); % 读入图像imgdata = im2double(img1);P1= 1 * (imgdata .^ 1.2);P2=...

2018-04-24 12:11:34 18184 4

原创 基于matlab的数字图像处理--图像拼接

使用matlab进行图像的拼接,实现功能的代码如下:img_01 = imread('p1.jpg'); % 读入图像img_02 = imread('p2.jpg'); % 读入图像P1=rgb2gray(img_01); %转化为灰度图像P22=rgb2gray(img_02); %转化为灰度图像P2=imresize(P22,[256,256]); %重定义像素figure(1)...

2018-04-24 12:08:40 8179 3

原创 VHDL---基于分频器的加法计数器与LED显示

将加法计数器的结果作为3个led的输出,并通过修改分频参数更改闪烁时长。该程序设计分为三部分,分别是:顶层元件调用程序、通用偶数分频器、加法计数器。1)通用偶数分频器--通用偶数分频器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;...

2018-04-23 22:58:21 2275 2

原创 VHDL-边沿触发的加法计数器与七段数码管显示

  将加法计数器的输出DOUT作为七段数码管的输入,显示计数的结果。该程序由四部分组成,分别是:加法计数器、数码管显示、通用偶数分频器、顶层调用文件。1)顶层调用文件--数码管显示--通过拨码开关控制CLK,EN,LOAD;--通过独立按键控制RST.--v1.0 使用拨码开关产生时钟信号,不稳定。--v1.1 增加分频器,以此产生时钟信号library ieee;use iee...

2018-04-23 22:50:28 5466 1

原创 基于VHDL语言的一位全加器

全加器的真值表如下:该全加器程序由以下三个子程序构成1)“f_adder”全加器程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY f_adder IS PORT (ain,bin,cin:IN STD_LOGIC; cout,sum:OUT STD_LOGIC);END ENTITY f_adder;ARCHITECTURE fd...

2018-04-23 22:42:53 8506

SmartRF Packet Sniffer_BLE(蓝牙抓包工具).7z

SmartRF Packet Sniffer_BLE(蓝牙抓包工具).

2019-10-05

Tiny4412开发板电容触摸屏一线触摸tslib补丁

Tiny4412开发板电容触摸屏一线触摸tslib补丁,解压后进入目录 编译,make,将生成的.so文件移至开发板的lib目录即可

2018-09-29

contrib_xfeatures2d_vgg_20160317

用于编译安装OpenCV出现以下问题 fatal error: boostdesc_bgm.i: No such file or directory #include "boostdesc_bgm.i"

2018-09-23

contrib_xfeatures2d_boostdesc_20161012

用于编译安装OpenCV出现: fatal error: boostdesc_bgm.i: No such file or directory #include "boostdesc_bgm.i"

2018-09-23

Tiny4412开发板所使用的linux3.5版本内核

Tiny4412开发板所使用的linux3.5版本内核

2018-09-10

解决 错误使用 mcc Test checkout of feature 'Compiler' failed.

解决matlab编译可执行文件过程中的错误,(应该是破解不完全) 错误使用 mcc Test checkout of feature 'Compiler' failed.

2018-06-01

matlab实现图像的拼接

matlab实现图像的拼接,数字图像处理............................................................................................

2018-05-07

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除