MATLAB生成COE或MIF文件代码

MATLAB生成COE或MIF文件代码

网络上的各种代码写的不太规范或是不利于理解,故分享三个可以直接生成COE或MIF文件的matlba代码用于学习使用,深度为4096,位宽为8


以下分别是正余弦波形、三角波和矩形波COE文件生成代码。

一、COE文件与MIF文件

这两种文件都属于内存初始化文件,这种格式类似于ASCⅡ码的形式,可以直接进行编辑,容易生成。区别最大的地方在于头文件的不同。具体格式见下图, 同样是定义初始化数据格式(十进制、十六进制、无符号等),MIF文件另需定义位宽、深度、地址符号。

COE文件格式如下

memory_initialization_radix = 16;
memory_initialization_vector = 
00000000,
00187DE2,
002D413C,
.
.
.

MIF文件格式如下

WIDTH = 32 ;
DEPTH = 256 ;
ADDRESS_RADIX = UNS ;
DATA_RADIX = HEX ;
CONTENT BEGIN
0: 00000000;
1: 00187DE2;
. 
END;

二、代码可直接使用

1.正余弦

代码如下(以生成COE文件作示例):

%% sin-cos wave data write in coe file
clear all ;
clc ;
N = 4096 ;
y = zeros(N , 1) ;
for i = 1:1:N 
    x = i ;
    %y(i,1) = <
  • 6
    点赞
  • 56
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

庐州学

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值