自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(46)
  • 收藏
  • 关注

原创 VIVADO IP核之DDS直接数字频率合成器使用详解

在数字调制解调,数字上下变频,软件无线电等应用中,均需要用到不同频率的正弦信号或者复正弦信号,VIVADO中的DDS IP核便可以产生不同频率的正弦信号,同时还可以用来计算三角函数值,本文将仔细介绍该IP核的使用,同时将该IP核计算的结果导入MATLAB中分析以验证该IP核被正确使用。本文详细介绍了DDS IP核的使用,它可以拿来计算三角函数值,也可以用来产生正弦波形。

2024-08-09 11:52:52 921

原创 VIVADO IP核之FIR低通滤波仿真(含滤波器群延时仿真)

网络上有许多文章介绍FIR低通滤波器的使用,包括仿真。本文将继续深入介绍FIR低通滤波器IP核的使用方法,并在此基础之上去分析VIVADO FIR滤波器的群延时。通过与MATLAB仿真计算的结果比较,说明如何在VIVADO中用FIR滤波器时,考虑上滤波器的这个群延时特点。

2024-08-06 11:25:45 1137

原创 阵列信号处理——仿真验证阵列可以提高接收信号信噪比

相信许多人在看阵列信号处理相关知识的时候,都会看见一个表达式,那就是相对于阵列接收到的信号,阵列输出信号可以将信噪比提高M倍,其中M为阵列的阵元个数。本文将模拟阵列的输入信号并验证阵列可以将信号的信噪比提高M倍。本文模拟了阵列输入信号及噪声,并验证了相对于阵列接收到的信号,阵列输出信号可以将信噪比提高M倍,其中M为阵列的阵元个数。

2024-07-31 10:00:00 1484

原创 FPGA数字信号截位影响分析(二)

在上一篇文章中,分析了直接截位对信号处理的影响,在这篇文章中,我们首先将分析直接截位误差的原因,然后将分析一种新的数据截位方法,不但有效降低了数据截位误差,去除了直流偏置,而且节省资源,降低了计算复杂度。

2024-07-30 18:22:55 973

原创 FPGA数字信号截位影响分析(一)

FPGA数据在进行乘加过程中会面临这数据位宽变大的问题,然而硬件资源是有限的,需要对数据最终位宽进行设计,这就会面临着位宽的选择和如何截位的问题。本文将对信号直接截位所造成的误差进行分析,利用 Matlab 模拟 FPGA 中的数据截位过程,对 FPGA 中的数据截位操作进行仿真。

2024-07-30 16:04:35 953

原创 均匀圆形阵列原理及MATLAB仿真

本文详细推导了均匀圆形阵列的方向图函数,对圆心不放置阵元和圆心放置阵元的均匀圆形阵列方向图都进行了仿真,仿真结果表面本文推导的方向图函数无误。

2024-07-26 15:17:24 835 1

原创 基于FPGA读写AT24C256 EEPROM芯片

EEPROM (E2PROM,Electrically Erasable Progammable Read Only Memory)即电可擦除可编程只读存储器, 是一种常用的非易失性存储器(掉电后,数据不丢失)。本文将在介绍IIC协议和EEPROM有关的基础知识后,说明如何配置AT24C256 EEPROM这块芯片,包含对相关指令的解析和读写操作需要注意的点,当然,仿真图必不可少了,文中也有给出相应的仿真图。

2024-07-26 09:46:43 996

原创 基于MATLAB对线阵天线进行泰勒加权

前面讨论了经典的道尔夫-切比雪夫综合方法,由此得到的切比雪夫阵列其方向图是最佳的,即在相同阵列长度情况下对给定的副瓣电平,其主瓣宽度是最窄的,或对给定的主瓣宽度,所得副瓣电平是最低的。但切比雪夫阵列两端单元的激励幅度容易发生跳变,不利于馈电。与切比雪夫综合法密切相关的另外一种经典综合方法一一泰勒综合法。采用泰勒综合法设计的泰勒阵列,其方向图只是靠近主瓣某个区域内的副瓣电平接近相等,随后单调地减小。如果设计得当,激励幅度分布的变化在阵列两端是单调减的,不会出现两端单元激励幅度跳变的情况。

2024-07-02 14:39:55 1397 3

原创 基于MATLAB对线阵天线进行道尔夫—切比雪夫加权

阵列天线的综合问题是其分析的逆问题,即在预先给定辐射特性(如方向图形状、主瓣宽度、副瓣电平、方向性系数等)的情况下,综合出阵列单元数、间距、激励幅度和相位。阵列天线的综合就是阵列天线的设计问题。本文介绍根据方向图的主瓣宽度和副瓣电平对相控阵线阵天线进行切比雪夫加权(综合),并通过MATLAB仿真分析切比雪夫加权方法的优缺点,仿真不同参数对切比雪夫加权阵列方向图的影响及激励幅度分布的影响。

2024-06-25 15:25:56 1237

原创 基于MATLAB仿真LFM线性调频信号

仿真中的接收信号,有时为了简单会直接用一个正弦波代替,但实际中接收到的信号极少是点频信号,一般都是PSK信号、OFDM信号、LFM信号等等。但最近想仿真一下LFM信号,将其用到自己某些算法的仿真中,于是有了下文。本文将详细介绍LFM的原理及展示用MATLAB仿真得到的LFM波形及频谱,当然,文末也有代码,感兴趣的伙伴可以下载验证。

2024-06-22 16:17:45 1321

原创 MATLAB中功率谱密度计算pwelch函数使用详解

详细介绍MATLAB中功率谱密度计算pwelch函数的使用方法,介绍如何使用该函数及输入各个参数的含义,手把手用代码教你学习pwelch函数,文中附有代码,足够pwelch函数入门了。MATLAB中的pwelch函数是一种用于快速估计信号功率谱密度的工具,也可以计算信号的功率谱,通过阅读该函数使用说明会发现功率谱和功率谱密度是两个不同的概念,要注意一下,在很多教材上都称功率谱和功率谱密度是同一个概念,这是错的,不要被误导。

2024-04-29 17:43:03 8210 1

原创 连续信号&离散信号的功率谱密度--用MATLAB求功率谱密度

一直对数字信号处理中的功率谱密度计算有点好奇,虽然MATLAB有提供现成的计算功率谱密度的计算函数,但还是想不通过调用函数,就单纯的通过FFT变换利用所谓的周期图法,去计算信号的功率谱密度,于是就有了下文。

2024-03-28 16:19:12 2957

原创 基于Givens旋转完成QR分解进而求解实矩阵的逆矩阵

在进行QR分解时,HouseHolder变换一次将一个向量除第一个元素以外都转化成零。而有一种方法,可以每次将向量的一个元素转化成0,也可以最终达到正交化的目的,它就是Givens旋转。Givens旋转矩阵是正交矩阵,使用Givens旋转很容易就可以将一个向量的某个分量的某个指定分量化为0。本文会通过列举例子说明如何将一个矩阵通过Givens旋转分解为Q矩阵和R矩阵,最后,会用MATLAB进行仿真,当然,代码也会分享出来。

2024-03-27 22:53:54 1840

原创 基于Householder变换完成QR分解进而求解实(复)矩阵的逆矩阵

今天花时间认真研究了Householder变换,理解了它变换的几何意义,以及怎样用它将可逆矩阵分解成Q矩阵和R矩阵。本文将站在我个人理解的基础上阐述什么是Householder变换及它的几何意义,同时也会通过列举几个例子说明如何将一个矩阵通过Householder变换分解为Q矩阵和R矩阵,例子中待分解的矩阵包括实矩阵和复矩阵。另外,也会分析该算法的计算复杂度,找出各种运算次数和矩阵阶次之间的关系。最后,会用MATLAB进行仿真,当然,代码也会分享出来。

2024-03-27 12:35:11 1728

原创 LDL^H分解求逆矩阵与MATLAB仿真(Right-Looking)

在线性代数中,LDL^H分解是将一个矩阵分解为一个下三角矩阵(L)与一个对角矩阵(D)的过程。由于D是对角矩阵,那么其逆矩阵就等于其所有对角元素的倒数组合成的对角矩阵。求逆矩阵,分解之后便只需要去求L的逆矩阵进而就能求出厄米特矩阵的逆矩阵。

2024-03-25 18:35:52 1121

原创 施密特正交化QR分解求逆矩阵与MATLAB仿真

在线性代数中,QR 分解是将一个矩阵分解为一个正交矩阵(Q)与一个上三角矩阵(R)的乘积的过程。由于Q是正交矩阵,那么其逆矩阵就等于其共轭转置。求逆矩阵,分解之后便只需要去求R的逆矩阵进而就能求出待求矩阵的逆矩阵。以上介绍了一种基于施密特正交化将矩阵分解为正交Q矩阵和上三角矩阵R,进而求解逆矩阵的方法与MATLAB仿真。对于Q矩阵而言,其列向量是标准正交的,也就是说列向量的模长为1,并且彼此正交,在复数域中,Q矩阵又被称为酋矩阵。

2024-03-25 14:36:51 1094

原创 一种基于约化因子上三角矩阵求逆方法与MATLAB仿真

矩阵运算广泛应用于实时性要求的各类电路中,其中矩阵求逆运算最难以实现。本文是在阅读文献后,复现文中采用的一种约化因子求逆的优化算法,将任意一个n×n阶 上三角矩阵转换成对角线为 1 的上三角矩阵,使得除法运算与乘加运算分离开来,大大简化矩阵求逆运算过程。文献中有些地方表述有误,在撰写本文时已经改正。

2024-03-22 14:31:44 1138

原创 基于FPGA读写MT25QL FLASH芯片

FLASH存储器又称闪存,是一种长寿命的非易失性存储器,一般用来保存一些重要的设置信息或者程序等等。本文将在介绍FLASH有关的基础知识后,说明如何配置MT25QL128ABA这块FLASH芯片,包含对相关指令的解析和读写操作需要注意的点,当然,仿真图必不可少了,文中也有给出相应的仿真图。

2024-03-14 14:04:05 2160 3

原创 基于MATLAB实现QPSK调制解调仿真(结果超详细)

基于MATLAB的QPSK调制解调仿真(包含中间各个环节的时域波形、功率谱、频谱图、星座图和眼图、理论与仿真的误码率曲线)。经过学习,理解了对于数字信号而言QPSK调制解调的整个过程,完成了对QPSK调制解调整个过程的仿真,在此记录一下。

2024-02-20 17:12:12 10364 11

原创 vivado里的LUT、LUTRAM、FF、BRAM、DSP、IO、BUFG、MMCM资源介绍

vivado里的LUT、LUTRAM、FF、BRAM、DSP、IO、BUFG、MMCM资源介绍

2024-01-31 14:44:25 6307

原创 数字图像处理(图像灰度变换、图像直方图及均衡、图像中值滤波、图像空域锐化增强、图像频域滤波)

本学期学习了数字图像处理课程,在此文章中记录一下自己做过的5个实验,所有结果已写入文章中,希望对各位小伙伴有所帮助。

2024-01-06 19:08:59 754 1

原创 基于MATLAB实现BPSK调制解调仿真(结果超详细)

基于MATLAB的BPSK调制解调仿真(包含中间各个环节的时域波形、功率谱、频谱图、抽样后的星座图和眼图、理论与仿真的误码率曲线)。经过多日的学习,深刻理解了对于数字信号而言BPSK调制解调的整个过程,完成了对BPSK调制解调整个过程的仿真,在此记录一下,记录自己努力学习的样子,同时也希望对看到这篇文章的小伙伴有所启发。

2023-12-09 12:39:51 10418 30

原创 矩阵代数与MATLAB实现(特征值、广义特征值、)

本文介绍了矩阵代数(特征值、广义特征值等)的相关知识及其MATLAB的计算,希望对大家有所帮助。

2023-11-29 18:29:08 1707 1

原创 MATLAB仿真绘制四种基本基带信号——双极性不归零码(DNRZ)、双极性归零码(DRZ)、单极性不归零码(SNRZ)、单极性归零码(SRZ)的时域波形及其功率谱

MATLAB仿真绘制四种基本基带信号————双极性不归零码(DNRZ)、双极性归零码(DRZ)、单极性不归零码(SNRZ)、单极性归零码(SRZ)的时域波形及其功率谱

2023-11-18 21:53:38 6185

原创 阵列信号处理——深入分析均匀直线阵列的特性

本次通过MATLAB的仿真结果来分析一下均匀直线阵列天线的波束宽度、零点位置、副瓣电平、栅瓣等特性。

2023-11-07 11:58:08 1860

原创 神经网络(Neural Network)—由浅入深的学习并搭建一个属于自己的神经网络(干货满满)

近段时间花了大概一周的时间将《Make Your Own Neural Network》这本书仔细阅读了一遍,同时也浏览了它对应的中文版本《Python神经网络编程》。书中有少数几个错误,认真阅读自己能检查出来,这几个错误不会妨碍你学习神经网络。不得不说,这本书写的确实很详细,很适合小白入门Neural Network(简称NN),我之前也上机器学习的课学过神经网络,但远没有看完这本书理解的深刻。看完了确实收获很大,在此仔细总结一下学到的核心知识,帮助大家更好的理解神经网络。

2023-10-20 19:52:12 386

原创 手把手教你如何将UCOS-II的应用程序移植到VC上运行

操作系统 (英语:Operating System,缩写:OS) 是一组主管并控制计算机操作、运用和运行硬件、软件资源和提供公共服务来组织用户交互的相互关联的系统软件程序。根据运行的环境,操作系统可以分为桌面操作系统,手机操作系统,服务器操作系统,嵌入式操作系统等。本文详细介绍一下把UCOS-II应用程序移植到VC2017上的具体操作,希望对各位有所帮助,移植需要的文件文中网盘链接无偿分享。

2023-05-01 12:31:18 494

原创 手把手以实例教你使用DOSBOX入门UCOS-II操作系统

操作系统 (英语:Operating System,缩写:OS) 是一组主管并控制计算机操作、运用和运行硬件、软件资源和提供公共服务来组织用户交互的相互关联的系统软件程序。根据运行的环境,操作系统可以分为桌面操作系统,手机操作系统,服务器操作系统,嵌入式操作系统等。本文从实例出发详细介绍一下UCOS-II和DOSBOX的入门级使用,希望对各位有所帮助。

2023-03-05 20:02:30 3068 3

原创 基于C语言实现单链表(附有两份完整的详细代码+仿真结果)

链表是同数据类型的集合,不占用连续内存空间。适合分类存放空间上不连续但需要大量的连续存储空间(类似档案馆),缺点就是检索速度慢且耗费的时间不固定。本文以单链表来说明链表的使用,包括创建链表表头、创建节点、指定位置删除节点、遍历打印节点、头插法插入节点、尾插法插入节点的使用。

2023-02-24 22:40:41 1123 2

原创 多相滤波器MATLAB仿真---抽取&插值

语音信号多相滤波器是我上学期末做的一个课设,过了许久,在此仅仅简单记录一下,以特定的D值和I值展示一下操作过程,希望对正在学习该内容的伙伴有所帮助。本文以工程实现的角度浅谈多相滤波器,由于数字滤波器是有延时效应的,所以在考虑滤波器的延时下,多相滤波器又应该如何操作。

2023-02-23 20:51:24 5570 3

原创 Vivado cordic IP核rotate和translate使用详解(附有代码)

利用givens旋转可以把一个矩阵分解为一个正交矩阵和一个三角矩阵,在FPGA中要想实现矩阵的上述分解操作,需要用到的就是cordic IP核当中的rotate和translate模式。本文将结合官方的cordic数据手册和自身使用经历详细介绍cordic IP核当中的rotate和translate模式的使用方法。

2023-01-10 22:06:05 4340 3

原创 使用matlab生成正弦波、爱心波以及单精度浮点数转二进制的coe文件(存储深度与数据位宽可调)

某个周一晚上和队友搞那个正弦波的coe文件搞到了12点多,在网上也没找到合适的,于是下定决心自己写一个,在此记录一下,顺带发了个生成爱心波和浮点数的coe文件。本文用matlab生成正弦波、爱心波以及单精度浮点数转二进制的coe文件(存储深度与数据位宽可调)。

2022-11-11 23:36:46 1784

原创 matlab中多元线性回归regress函数精确剖析(附实例代码)

regress函数功能十分强大,它可以用来做多元线性回归分析,它不仅能得出线性回归函数中各个系数,还会返回一系列有意义的统计参数,有助于我们对回归函数的分析。本次介绍regress函数的基本功能然后配置以具体实例展示regress函数如何使用。

2022-10-23 23:33:58 41623 3

原创 通信原理之PCM编码及C语言实现逐次比较型编码器

学了编译码之后,课程老师说编码可以用代码实现,还想用一次实验让我们来编一下,但本届学生实验课有限,只能从下一届的学生开始安排了。这些天国庆放假,闲来无事儿,就用C语言编了个逐次比较型编码器,就当复习一下C语言了。本人码字能力有限,各位如果正在学该知识,希望这篇文章对各位有所帮助。以上就是今天要讲的内容,本文介绍了编码的基本知识以及如何用C语言编程去实现逐次比较型编码器和7/11、7/12转换。

2022-10-03 12:41:44 3983

原创 Vivado IP核之复数浮点数累加 Floating-point

在FPGA中,常常都会设计到浮点数的累加,单纯的两个两个的相加会占用大量的时钟周期,无意中发现xilinx提供的Floating-point IP核具有累加功能,那这就非常方便了,可以节约大量的时钟周期。以上就是今天要讲的内容,本文仅仅简单介绍了如何利用IP核快速实现复数浮点数累加的基本操作。

2022-09-30 23:24:29 3534 1

原创 基于cordic算法的小数开方及其FPGA实现

现实中很多算法本身就包含开方运算,硬件实现中很多时候也会涉及到开方运算,本次较为详细的介绍用cordic算法实现开方运算的基本原理以及如何使用xilinx公司提供的cordic IP核来完成无符号小数开方运算。

2022-09-02 23:00:11 3672 12

原创 基于FPGA的SD卡音乐播放器之完结篇

前面已经介绍了WM8731芯片和SD卡的使用,这里介绍怎么利用这两个东西完成基于FPGA的SD卡音乐播放器并且添加按键完成对音乐播放效果的控制。不得不说,做完后简直就是一个MP3 Player,只是板子大了点,拿起来不方便,不然感觉都可以用它来边听歌边跑步了。

2022-08-24 16:48:53 2480 1

原创 基于FPGA的SD卡音乐播放器之SD卡篇

这篇文章主要记录一下SD卡在音乐播放器项目中的使用配置,用的是SPI接口。主要是关于SD卡的介绍、SD卡初始化、SD卡读操作。SD卡的英文全称是Secure Digital Card,即安全数字卡(又叫安全数码卡),是在MMC卡(Multimedia Card,多媒体卡)的基础上发展而来,主要增加了两个特色:更高的安全性和更快的读写速度。

2022-08-23 21:48:39 2518 2

原创 基于FPGA的SD卡音乐播放器之WM8731篇

这个题目是我之前7月初做的一个eda课程设计,过了一个多月了,凭着我还有一些记忆,我想将我大致的思路记录下来,毕竟在自己的坚持努力下能做成功,对我来说也是很有纪念意义的。这篇文章主要记录一下WM8731这块语音芯片的使用配置,用的是I2C配置。提示:以下是本篇文章正文内容,均为作者本人原创,写文章实属不易,希望各位在转载时附上本文链接。本次的全部内容,离我做这个的时间有点久了,写的不是太好,本文仅仅简单介绍了WM8731的在此工程中的使用。

2022-08-22 16:42:45 5532 8

原创 Vivado IP核之浮点数开方 Floating-point

随着制造工艺的不断发展,现场可编程逻辑门阵列(FPGA)的集成度越来越高,应用也越来越广,其中在对数字信号进行处理时必然要用到一些数学处理类的IP核。最近正在研究空域自适应抗干扰技术研究的FPGA硬件实现,其中不免要用到一些IP核,今天就从浮点数开方出发简单介绍一下vivado当中的Floating-point这个IP核吧,希望对各位的学习能起到一定的帮助作用。...

2022-07-28 12:03:04 1745

VIVADO IP核之FIR低通滤波仿真(含滤波器群延时仿真)工程

该工程包含了对VIVADO FIR滤波器的使用和仿真代码,仿真代码分为两种,一种是仿真FIR滤波器不考虑群延时的,一种是仿真FIR滤波器考虑群延时的,可以根据需要注释掉其中的一种仿真另外一种。两种仿真均成功的滤除了输入信号中频率较高的那个正弦波,只保留了频率较低的那个正弦波。

2024-08-06

仿真验证阵列可以提高接收信号信噪比

这是一份模拟了阵列输入信号及噪声,并验证了相对于阵列接收到的信号,阵列输出信号可以将信噪比提高M倍,其中M为阵列的阵元个数的代码。 代码中可以随意修改阵元个数、阵元间距、波束指向角度、信号频率等。 代码中关键部分均含有文字注释,完全不必担心看不懂。 无论是从仿真波形,还是计算的信噪比结果均能看出阵元数为M的阵列将信号的信噪比提高了M倍。

2024-07-30

MATLAB中将二进制有符号数转化为十进制数的函数

这是自己手动编写的将二进制有符号数转化为十进制数的函数。 输入是二进制表示的有符号数,最高位是符号位,输出是十进制数。 通过学习和理解这个MATLAB程序,读者可以掌握将二进制表示的有符号数转化成十进制数的详细过程。

2024-07-30

MATLAB中将二进制有符号定点数转化为十进制数的函数

这是自己手动编写的将二进制有符号定点数转化为十进制数的函数,其中定点小数的整数部分位长和小数部分位长可以自己指定,输出的最高位表示符号位,0为正数,1为负数,均采用补码表示。 源代码带有注释,用户可以根据需要修改参数以适应不同的数据转换。 通过学习和理解这个MATLAB程序,读者可以掌握将二进制表示的有符号定点数转化成十进制数的详细过程。

2024-07-30

均匀圆形阵列方向图仿真MATLAB代码

本资源提供了一个基于MATLAB实现的圆形阵列的方向图生成程序,分别仿真了圆心放置阵元与圆心不放置阵元情况下的方向图。 另外,程序还绘制了波束最大指向上沿方位角和俯仰角各切一刀的平面图,方便观察波束最大指向。 源代码带有注释,用户可以根据需要修改参数以适应不同的应用场景。 代码中可以随意修改阵元个数、圆的半径、波束指向角度、信号频率等等。 通过学习和理解这个MATLAB程序,读者不仅可以掌握圆形阵列的基本原理,还能了解到如何利用MATLAB进行信号处理和天线分析。

2024-07-26

AT24C256 EEPROM 中英文芯片手册

里面含有AT24C256 EEPROM这块芯片的中英文芯片手册各一份,手册里面包含对芯片所有信息的详细介绍,包含对相关引脚的解析和读写操作时序详细说明,可以为大家在学习如何使用这块EEPROM芯片时提供参考。这款芯片的总容量为256kbit,32k byte,整个存储阵列被划分为512页,每页有64个字节。由于芯片手册一般是英文的看起来不爽,所以我也在里面放了中文的芯片手册,初次阅读时可以对照阅读,理解起来速度更快。

2024-07-25

MATLAB中两种将十进制小数转化为任意位数的二进制有符号定点数的方法

MATLAB中两种将十进制小数转化为任意位数的二进制有符号位定点数的方法,其中定点小数的整数部分位长和小数部分位长可以自己指定,输出的最高位表示符号位,0为正数,1为负数,均采用补码表示。 在自己设定的参数下,支持溢出自动判断,例如转化位数是16位时,当没有整数位时,默认大于等于1的小数都为0111_1111_1111_1111,默认小于-1的数都为1000_0000_0000_0000,即溢出直接取正负各自对应的最值。

2024-07-22

基于MATLAB对线阵天线进行泰勒加权

这是一份基于MATLAB的对相控阵线阵进行泰勒综合的代码。 代码中详细展示了用MATLAB仿真得到泰勒加权后的方向图及激励幅度分布。 根据选择的代码块可验证泰勒加权时不同参数对激励幅度,方向图的影响。 代码中可以随意修改阵元个数、阵元间距、副瓣电平、波束指向角度、信号频率等。 代码中关键部分均含有文字注释,完全不必担心看不懂。

2024-06-28

基于MATLAB对线阵天线进行道尔夫-切比雪夫加权

这是一份基于MATLAB的对相控阵线阵进行切比雪夫综合的代码。 代码中详细展示了用MATLAB仿真得到切比雪夫加权后的方向图及激励幅度分布。 根据选择的代码块可验证切比雪夫加权时不同参数对激励幅度,方向图的影响。 代码中可以随意修改阵元个数、阵元间距、副瓣电平、波束指向角度、信号频率等。 代码中关键部分均含有文字注释,完全不必担心看不懂。

2024-06-25

基于MATLAB的LFM仿真代码(基带+载波)

这是一份基于MATLAB的LFM(Linear Frequency Modulation)仿真的代码。 代码中详细展示了用MATLAB仿真得到LFM的波形及频谱图。 根据注释的两行代码,可选择是否需要载波,或者需要载波时选择载波为实信号还是复信号。不选载波的话就是复基带信号。 代码中可以随意设置LFM信号的脉冲宽度、带宽、采样频率、载波频率等。 代码中关键部分均含有文字注释,完全不必担心看不懂。

2024-06-22

pwelch功率谱密度绘制函数学习资料

资源包含了学习功率谱密度绘制pwelch函数的MATLAB Code,教你如何绘制信号的功率谱密度图和功率谱图,以及估计多通道信号的功率谱密度,适合初学者学习使用。 MATLAB中的pwelch函数是一种用于快速估计信号功率谱密度的工具,也可以计算信号的功率谱,通过阅读该函数使用说明会发现功率谱和功率谱密度是两个不同的概念,要注意一下,在很多教材上都称功率谱和功率谱密度是同一个概念,这是错的,不要被误导,对比MATLAB Code绘制的图谱就能看出二者不一样。

2024-04-05

基于Givens旋转完成QR分解进而求解实矩阵的逆矩阵-MATLAB代码

本资源是如何将一个矩阵通过Givens旋转分解为Q矩阵和R矩阵的MATLAB代码。 在进行QR分解时,HouseHolder变换一次将一个向量除第一个元素以外都转化成零。而有一种方法,可以每次将向量的一个元素转化成0,也可以最终达到正交化的目的,它就是Givens旋转。Givens旋转矩阵是正交矩阵,使用Givens旋转很容易就可以将一个向量的某个分量的某个指定分量化为0。

2024-03-27

MATLAB源代码-基于Householder变换完成QR分解进而求解实(复)矩阵的逆矩阵

MATLAB源代码一份,基于Householder变换完成QR分解进而求解逆矩阵,实矩阵和复矩阵都适用。仿真结果成功验证了基于Householder变换完成对实矩阵和复矩阵的QR分解。 householder变换(Householder transformation),译为“豪斯霍尔德变换”,或译“豪斯霍德转换”,又称初等反射(Elementary reflection),最初由A.C Aitken在1932年提出。householder变换最初由A.C Aitken在1932年提出。Alston Scott Householder在1958年指出了这一变换在数值线性代数上的意义。这一变换将一个向量变换为由一个超平面反射的镜像,是一种线性变换。其变换矩阵被称作豪斯霍尔德矩阵,在一般内积空间中的类比被称作豪斯霍尔德算子。超平面的法向量被称作豪斯霍尔德向量。

2024-03-27

LDL^H分解求逆矩阵MATLAB仿真代码(Right-Looking)

在线性代数中,LDL^H分解是将一个矩阵分解为一个下三角矩阵(L)与一个对角矩阵(D)的过程。由于D是对角矩阵,那么其逆矩阵就等于其所有对角元素的倒数组合成的对角矩阵。求逆矩阵,分解之后便只需要去求主对角线元素全为1的L的逆矩阵进而就能求出厄米特矩阵的逆矩阵。该算法计算复杂度远低于其他常见的方法,因为其利用了厄米特矩阵的共轭对称性质。

2024-03-25

施密特正交化QR分解求逆矩阵与MATLAB仿真

严格按照施密特正交化分解步骤进行计算求得正交矩阵Q和上三角矩阵R,没有调用MATLAB提供的QR分解函数。分解完成之后通过求逆仿真在MATLAB上面绘制了三个曲线图,可可视化观察。 在线性代数中,QR 分解是将一个矩阵分解为一个正交矩阵(Q)与一个上三角矩阵(R)的乘积的过程。由于Q是正交矩阵(酋矩阵),那么其逆矩阵就等于其共轭转置。求逆矩阵,分解之后便只需要去求R的逆矩阵进而就能求出待求矩阵的逆矩阵。

2024-03-25

一种基于约化因子上三角矩阵求逆的方法MATLAB仿真

 矩阵运算广泛应用于实时性要求的各类电路中,其中矩阵求逆运算最难以实现。本资料是在阅读文献后,仿真文中采用的一种约化因子求逆的优化算法,将任意一个 n×n 阶 上三角矩阵转换成对角线为 1 的上三角矩阵,使得除法运算与乘加运算分离开来,大大简化矩阵求逆运算过程。文献中有些地方表述有误,在撰写本文时已经改正。

2024-03-22

MT25QL128ABA FLASH 中英文芯片手册

里面含有MT25QL128ABA这块FLASH芯片的中英文芯片手册各一份,手册里面包含对芯片所有信息的详细介绍,包含对相关指令的解析和读写操作需要注意的点,可以为大家在学习如何使用这块FLASH芯片时提供参考。这款芯片的总容量为128Mbit,16MB,整个存储阵列被分成4096个4KB子扇区,每页的容量为256字节。由于芯片手册一般是英文的看起来不爽,所以我也在里面放了中文的芯片手册,初次阅读时可以对照阅读,理解起来速度更快。

2024-03-14

regress函数实例代码

 regress函数功能十分强大,它可以用来做多元线性回归分析,它不仅能得出线性回归函数中各个系数,还会返回一系列有意义的统计参数,有助于我们对回归函数的分析。本文件包含regress函数实例代码一份。

2024-01-15

生成WM8731所需要的位时钟和左右声道区分时钟

此模块负责生成WM8731所需要的位时钟和左右声道区分时钟。对于此模块产生左右声道区分时钟时,要注意左对齐模式16位音频数据的最高位先接收,且最高位在位时钟第一个上升沿到来就能用,然后还需注意接收完16位音频数据后,位时钟还预留了三个周期才开始接收下个16位音频数据。左对齐模式如图3所示。这里还有I2S格式、右对齐模式都是可以用的,只是在用的时候要注意时序图上面的区别,编写出正确的时钟,不然音乐效果不好,会有噪声。

2024-01-14

数字图像处理源代码+程序设计流程图资料

数字图像处理源代码+流程图visio文件,里面包含详细注释的matlab代码和一份用visio软件绘制的程序设计流程图,供大家参考。

2024-01-06

WM8731芯片中英文手册资料

本资料包含WM8731芯片使用手册英文一份以及谷歌翻译的中文资料一份。该资料详细介绍了关于此芯片的11个寄存器该如何配置,各个寄存器的具体含义以及各个信号具体操作时的时序图。

2022-08-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除