quartus modelsim仿真时钟出现Pu1是什么意思?

FPGA实验,用rom的IP核做一个简易信号发生器。仿真出来没有波形,时钟信号显示Pu1,复位信号显示HiZ。
有大佬知道原因的麻烦指导一下,仿真界面,工程文件如下:
modelsim输出
在这里插入图片描述
顶层文件sin_gen.v

module sin_gen (clk,rst,q_outs);

   input clk,rst;
	output [7:0] q_outs;
	//output [7:0] q_outt;
	//output [7:0] q_outw;	

	reg [8:0] addr;
	
always @(posedge clk or negedge rst)

begin
   if(!rst)
	    addr <= 10'd0;
	else if(addr == 10'd511)
	    addr <= 10'd0;
	else
       addr <= addr + 1'b1;
end 
rom	rom_inst (
	.address ( addr ),
	.clock ( clk ),
	.q ( q_outs )
	);
endmodule

测试文件sin_gen.vt

// Copyright (C) 2018  Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Intel Program License 
// Subscription Agreement, the Intel Quartus Prime License Agreement,
// the Intel FPGA IP License Agreement, or other applicable license
// agreement, including, without limitation, that your use is for
// the sole purpose of programming logic devices manufactured by
// Intel and sold by Intel or its authorized distributors.  Please
// refer to the applicable agreement for further details.

// *****************************************************************************
// This file contains a Verilog test bench template that is freely editable to  
// suit user's needs .Comments are provided in each section to help the user    
// fill out necessary details.                                                  
// *****************************************************************************
// Generated on "04/30/2023 16:59:30"
                                                                                
// Verilog Test Bench template for design : sin_gen
// 
// Simulation tool : ModelSim (Verilog)
// 

`timescale 1 ns/ 1 ns
module sin_gen_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg rst;
// wires                                               
wire [7:0]  q_outs;
//wire [7:0]  q_outt;
//wire [7:0]  q_outw;

initial
begin
   clk = 0;
	rst = 0;
	# 15
	rst = 1;
end
// assign statements (if any)                          
sin_gen i1 (
// port map - connection between master ports and signals/registers   
	.clk(clk),
	.rst(rst),
	.q_outs(q_outs)
	//.q_outt(q_outt),
	//.q_outw(q_outw),	
);
always #10 clk =~clk;                                                  
endmodule

江湖救急,感谢!感谢!

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值