VHDL 实现一位全加器以及 Quartus II 初探

一. 实验说明

使用软件:Quartus II 5.0
实验要求说明:设计一个一位全加器(full adder)

1.引脚功能表
001

逻辑说明:XOR 为异或 ,AND 为 与, OR 为或。输入包括两个加数与一个进位信号;输出包括一个本位和与进位信号

2. VHDL 实现

use ieee.std_logic_1164.all;

entity full_adder1 is 
port (a,b,ci:in std_logic;
        s,co:out std_logic);

end entity;

architecture behave of full_adder1 is
begin 
    s<=a xor b xor ci;
    co<=((a xor b)and ci)or (a and b);

end behave;

3. 实验原理

一位全加器的真值表

002

说明: Ai A i 为被加数, Bi B i 为加数,相邻低位来的进位数为 C

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值