QuartusII 9.0安装教程详解及例程测试


 目录

1.首先下载软件以及文件

2.安装软件

3.软件

4.例程测试

5.新建原理图


1.首先下载软件以及文件

链接:https://pan.baidu.com/s/1qGtGchiD0gXn_3b2ZRRGiA 
提取码:ofss

 下载完如下图所示:

解压QuertusII 9.0的压缩包。

2.安装软件

2.1.点击setup.exe

2.2.接受条款

2.3.填写信息

2.4.选择安装路径

2.5.下一步:

备注:这个可以随便选 

2.6.下一步

2.7.下一步:

2.8.安装中,等待几分钟:

2.9.安装完成后桌面一个图标,点击图标进入软件:

2.10.进入软件,弹窗,选择OK

2.11.又一个弹窗,OK

2.12.可以看到这个软件的使用年限已经过期了:

3.打开软件

3.1.打开tool-->License Setup查看网卡地址

3.2.打开下载好的文件,使用记事本打开license.DAT文件进行编辑:

替换后如下:

3.3.把修改后的license.DAT文件复制到软件安装目录下:

3.4.修改license的路径,改成我们刚刚放置License的路径:

3.5.将文件bin32文件夹中的sys_cpt.dll复制到安装目录的quartus的bin目录下:

找到软件安装目录,然后找到quartus/bin,粘贴:

注意:先暂时关闭软件,不然没办法替换的。

至此,就完成啦。


4.例程测试

4.1.使用向导新建一个工程,File->New Project Wizard

4.2.下一步

4.3.选择保存路径:

4.4.添加设计文件到您的工程目录下面,如果没有设计文件,则点击“NEXT”

4.5.选择器件的具体型号:

4.6.选择设计综合工具,选择仿真工具,选择时序分析工具,默认,就点击“NEXT”

4.7.点击“FINISH”,工程文件建立成功

4.8.新建设计文件,点击“FILE”-----“NEW”

4.9.选择“VHDL File”:

4.10.编写一个简单的半加器实验:

具体代码如下:

library ieee;
use ieee.std_logic_1164.all;
entity h_adder is
	port(
		A : in std_logic;
		B : in std_logic;
		SO: out std_logic;
		CO: out std_logic);
end entity h_adder;
architecture fh1 of h_adder is
	begin
	
		SO <= A xor B;
		CO <= A and B;
end architecture fh1;

把这段代码粘贴到新建的file中:

4.11.点击保存后,必须注意一点,您所设计的模块名,必须和您保存的文件名字完全相同

4.12.点击开始编译

4.13.编译成功,弹出对话框,确定:

提示:

如果遇到错误:Error: Top-level design entity "Verilog1" is undefined

那是因为你的顶层文件名和实体名对不上,修改菜单Assignments -> Settings...
打开后点击第一个General选项里,在Top-level entity标签指示下的编辑框里输入你的VHDL文本里的实体名字就OK了。

例如:这里应该是:h_adder

4.14.新建仿真波形文件FILE->NEW;选择如下所示,选择好波形文件后,点击OK

4.15.弹出对话框,如下图所示:

4.16.添加输入输出信号的波形仿真文件中;安装图中说明进行选择;

4.17.点击”Node Finder...”

4.18.按照图中说明进行操作

4.19.再单击OK

4.20.编辑信号如下图所示:

提示:

如何编辑信号的电平?

按住鼠标左键,然后拖地鼠标选取所需要的时间段;如下图所示

选择“1”,就可以把刚才所选取的时间段变为1;编辑好波形文件后,必须点击保存

4.21.点击保存,或者快捷键:CTRL + S:

4.22.选取仿真的方式,点击“Processing”----“Simulator Tool”

4.23.如果您需要先进行功能仿真,1、选择“Functional”;2、选择刚才保存的波形文件“johson.vwf”;3、点击“Generate Functional Simulation Nelist”,弹出对话框,提示成功后,点击“确定”;4、点击“Start”

点击start,开始:

4.24.仿真成功后,弹出对话框,提示仿真成功,然后点击“Report”就可以看到波形仿真结果了:

半加器的仿真波形如下:


5.新建原理图

5.1.首先选择FILE->Create/Update->Create Symbol Files for Current File

5.2.接着File->new

新建一个Schematic File

5.3.空白处双击:

5.4.弹出一个对话框,选择,Project 下的 h_adder

5.5.单击左键放置,接OK了:


。。。。。。。。。。。。。。。。。。。。。本教程完。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。


 

  • 296
    点赞
  • 1088
    收藏
    觉得还不错? 一键收藏
  • 69
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 69
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值