自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(84)
  • 资源 (4)
  • 收藏
  • 关注

原创 centos7 dc libtiff.so.3问题

centos7 启动dc2018遇到libtiff.so.3问题。

2024-07-21 10:30:40 129

原创 数字电路之简单的取值和自加功能

rstn和load为低电平,输出位0。时钟上升沿采样输出IN的值。经过复位之后,输出为0。

2024-07-21 01:11:58 113

原创 vcs+verdi搭建基础仿真的版本V1

2024-7-20数字软件安装,仿真环境测试dut重新修改makefile重新修改verdi整合完成。

2024-07-20 23:44:32 183

原创 cadence symbol修改之一

cdaence virtuoso 复制cell,或者拷贝symbol之后,再次调用的时候,symbol还是跟随原来的cell名字。修改@partName为 @cellName。打开对应的symbol。

2024-07-04 13:51:45 180

原创 cadence virtuoso spectre仿真路径和仿真结果配置

cadence平台搭建笔记,仿真路径保存,后期设置自动定期清理。

2024-06-24 10:57:24 381

原创 Calibre版图验证工具调用_笔记

加入以上语句之后,存盘并退出文件,进入到工作目录,启动Cadence Virtuoso工具Virtuoso &。在打开存在的版图视图文件或者新建版图视图文件后,在Layout Editor的工具菜单栏上增加了一个名为“Calibre”的新菜单,采用Cadence Virtuoso Layout Editor直接调用Siemens EDA Calibre工具需要进行文件设置,在文件的结尾处添加以下语句即可,其中,calibre.skl为Calibre提供的Skill语言文件。

2024-06-13 16:00:13 354

原创 xrun简单的仿真环境

makefile文本。

2024-06-05 23:54:05 276

原创 linux中如何用find指令寻找 两个或者多个后缀的文件

linux中如何用find指令寻找 两个或者多个后缀的文件。

2024-06-05 13:35:09 109

原创 cadence安装遇到的libGL error问题

【代码】cadence安装遇到的libGL error问题。

2024-06-05 10:01:51 275

原创 redhat遇到安装包缺失问题

缺失的文件用repoquery --nvr --whatprovides XXX 查询。然后使用yum -y install XXX 选择查询到的文件的安装。

2024-06-04 22:06:23 232

原创 redhat8安装cadence遇到的问题

【代码】redhat8安装cadence遇到的问题。

2024-06-04 22:03:50 114

原创 calibre安装问题笔记

【代码】calibre安装问题笔记。

2024-06-04 21:13:09 89

原创 cadence virtuoso ADE 仿真完后查看波形频率方法

笔记记录 cadence ade 波形 频率。

2024-05-17 08:30:57 640 2

原创 ncsu 45nm工艺库导入并仿真以及scs仿真文件问题

不一定要.scs结尾的文件。

2024-05-16 13:33:07 202

原创 cadence ams数模混合仿真 仿真时间过短续加时间

在debug模式中,使用analog -stop 指令。原先设置的5ms,现在可以延长到10ms。

2024-05-06 14:28:40 248

原创 IC618 schematic取消线高亮问题

在启动目录下.cdsinit里面添加这句。

2024-04-25 22:56:47 110

原创 关于FPGA仿真复位信号和实际板子复位信号的吐血大坑

FPGA例程仿真没问题,下载到板子出错,因素之一可能是复位信号不一样。最近在研究mipi dphy IP下载到板子上进行验证的初步流程问题。IP的example案例里面,系统复位全是高电平复位,低电平工作。想当然的打通IP流程,写工程的时候也使用了高电平复位,低电平复位。结果调试了N轮,init_done信号一直没有拉高。后来查询了电路图,发现是高电平工作,低电平复位。再重新看例程,是低电平复位,吐血!

2024-01-08 17:47:55 473

原创 $sformat在仿真中打印文本名的使用

在仿真中,定义队列,使用任务进行函数传递,并传递文件名,传递队列,进行打印。使用此函数可以自定义字符串,在仿真的时候进行文件命名和传递数据的场景很多。创建一个data_log文件夹,存入文件,数据可以传入数字类型。往文本中写入了500个数据。

2023-12-05 18:56:22 166

原创 uvm白皮书练习_ch2_ch231_加入transaction

2.3 为验证平平台加入各种组件uvm白皮书练习_ch3_ch231_加入transaction。

2023-11-21 16:29:31 521

原创 verdi如何打开时可以加载配置比如字体

其实其他有需要的文件配置都可以在这里找到对应的指令。

2023-11-10 11:04:15 496

原创 查询当前目录下指定大小的文件和跳过指定文件夹查文件夹大小

这个命令会查找当前目录及其子目录中所有文件,筛选出大小大于500MB的文件,并将它们的信息记录在。这将显示当前文件夹下除了 “exclude_folder” 文件夹之外的所有数据的总大小。这个命令将仅列出文件名,而不包括它们的详细大小信息。然后,你可以将这些文件名追加到。表示只显示总大小而不显示子目录大小,表示以人类可读的格式显示文件大小,则是你要统计的当前文件夹的路径。是用于查看磁盘使用情况的命令,用于跳过指定文件夹,你需要将。替换为你要跳过的文件夹的名称,文件中,如第4步所示。

2023-11-06 09:55:08 433

原创 NAS查询目录下文件的目录树

4 输入指令 tree /F >D:\file_list.txt 会把当前的目录下的文件路径整理到文件中。2 在同局域网络中,网络的位置下打开NAS的路径。1 NAS在同局域网络下打开samba。3 在文件夹中右键,选择在终端中打开。

2023-11-05 18:06:43 458

原创 vivado简单仿真入门

【代码】vivado简单仿真入门。

2023-10-26 11:04:13 333

原创 verdi显示OVM/UVM Hierarchy View

使用vcs+verdi+UVM进行UVM debug的时候,verdi加载的时候看不到UVM树形结构图。verdi显示OVM/UVM Hierarchy View。需要先仿真一定时间(自己定),然后就可以常看树形结构了。打开界面后,并不会直接显示树形层级。

2023-10-09 17:06:00 1182 2

原创 uvm白皮书练习_ch2_ch224_加入virtual interface

参考使用uvm白皮书内容。

2023-09-25 10:48:23 134

原创 makefile中编写查询文件夹是否存在以及创建

你可以将检查和创建文件夹的逻辑封装成一个Makefile中的函数接口。如果文件夹不存在,就会执行创建文件夹的操作,否则会输出文件夹已存在的消息。命令来执行这个Makefile,并根据传递的文件夹名参数来执行检查和创建文件夹的操作。要在Makefile中查询文件夹是否存在,如果不存在则创建它,你可以使用。来调用函数接口,并传递不同的文件夹名作为参数,以便批量检查和创建文件夹。命令来执行这个Makefile,并根据文件夹是否存在来执行不同的操作。函数接口,该接口接受一个参数作为文件夹名。,用于存储文件夹名。

2023-09-25 10:44:17 2494

原创 uvm白皮书练习_ch2_ch223_加入objection机制

UVM中通过objection机制来控制验证平台的关闭。在每个phase中,UVM会检查是否有objection被提起(raise_ objection),如果有,那么等待这个objection被撤销(drop_objection)后停止仿真;如果没有,则马上结束当前phase。

2023-09-25 10:16:33 283

原创 uvm白皮书练习_ch2_ch222加入factory机制

这个宏所做的事情非常多,其中之一就是将my_driver登记在UVM内部的一张表中,这张表是factory功能实现的基础。factory机制的实现被集成在了一个宏中:uvm_component_utils。uvm白皮书练习_ch2_ch222只有factory机制。

2023-09-19 15:29:06 195

原创 uvm白皮书练习_ch2_ch221只有driver的验证平台之*2.2.1 最简单的验证平台

激励本来是256次,发送随机数,这里为了方便直观,改25次,升序。

2023-09-18 22:37:33 309

原创 linux环境中打印有颜色字体

请注意,不同终端可能对ANSI转义码的支持程度有所不同,因此在某些终端中可能无法正常工作。大多数常见的Linux终端会支持这些ANSI转义码。如果你需要在脚本中使用颜色,还可以考虑使用。在Linux终端中打印红色字体,可以使用ANSI转义码来设置文本的颜色。可以根据需要自由调整文本的颜色,只需更改ANSI转义码中的颜色代码。用于重置文本颜色,以确保后续文本不受影响。命令来设置文本颜色,这样可以更加可移植。来将文本的颜色设置为红色,然后。这个命令使用了ANSI转义码。一个测试的makefile文本。

2023-09-07 10:50:59 613

原创 systemverilog仿真时候传递参数

VCS在编译时获得的固定信息越多,VCS就能够更好地优化simv,以实现高效的仿真。或者,用户在运行时控制得越多,VCS在simv中添加运行时选项的开销就越大,仿真效率就越低。将这些写入文件的系统任务或任何写入文件的系统任务编译到测试台中,需要VCS编译simv可执行文件,以便在命令行中包含运行时选项时能够写入VPD文件。因此,VCS不会写入VPD文件,因此运行速度更快。因此,Synopsys建议如果使用这种技术,应计划在开发周期的早期放弃它,并切换到用于编写仿真历史文件的条件编译技术,或两种技术的组合。

2023-09-05 15:19:55 517

原创 systemverilog运行的时候调用系统函数运行python等

使用场景,在仿真过程中,需要外部环境准备仿真参数,或者调整仿真参数的时候。systemverilog 运行的时候使用系统函数。在linux系统中用vcs 进行仿真。创建一个python文件。

2023-09-05 15:05:53 579

原创 sv绿皮书第三版数组练习

sv绿皮书第三版练习。

2023-07-28 10:00:42 169

原创 Python 各种进制转换

【代码】Python 各种进制转换。

2023-07-26 11:29:52 153

原创 systemverilog 定宽数组

2.2定宽数组索引从 0 开始。

2023-07-25 23:22:10 234

原创 sv对四值状态的检测

使用$isunknown()操作符,在表达式中任意位出现X或Z的时候返回1。sv绿皮书第三版第二章eg0203。sv对四值状态的检测。

2023-07-25 22:11:07 117

原创 IIC 学习笔记

IIC学习笔记

2023-07-21 10:04:43 84

原创 IIC子集CCI学习笔记

IIC CCI 协议 时序 寄存器地址位宽支持8bit或者16bit。

2023-07-20 17:37:28 169

原创 sv绿皮书第三版第二章练习

【代码】sv绿皮书第三版第二章练习。

2023-07-18 09:41:30 203

原创 linux下python让子文件夹多进程运行程序

函数里面带一个指令,运行python文件,并将结果记录在aa.txt文件夹中。适合单窗口模式下,切换到子文件件多开应用运行。

2023-06-14 09:47:12 290

NCSU PDK 45nm

笔记:参考https://github.com/ncsu-eda/FreePDK3

2024-05-16

ico格式的图标,用于python tkinter 练习

ico格式的图标,用于python tkinter 练习

2022-11-08

vscode sftp 插件

1、vscode sftp插件 2、连接linux 服务器,实现文本编辑,上传,下载

2022-02-18

esp8266-20191220-v1.12.bin esp8266固件库

esp8266的固件库,可以用多平台的软件配合烧录。已经集成多模块应用,可以直接调用。具体的也可以在网上查找micropython社区,里面也有很多案例。

2020-09-18

upycraftV1.1

micropython IDE 开发工具,python语言,202009最新版,修复不少BUG,可烧录ESP系列板子。

2020-09-18

Clock gating 中文翻译.pdf

国外教材详细介绍了时钟门控电路,基于FPGA,电路原型设计参考资料,超级详细,欢迎各位学者下载学习。 时钟门控是一种方法,当一个特定的块不需要时关闭时钟,并被大多数人使用,SOC设计今天作为一种有效的技术,以节省动态功率。

2020-05-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除