自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(7)
  • 收藏
  • 关注

原创 PID进行温度调控时利用matlab进行调参

通过PID控制算法实现系统的温度控制,主要是对系统降温,例如:环境温度为27℃,需要保证系统内部的系统稳定在10℃。

2023-10-24 19:25:40 1126 1

原创 bootloader启动加速方法

程序从flash加载至DDR速度太慢的原因:从flash中读取SREC格式文件,然后转化为elf文件后加载至DDR中执行,该过程太费时间,解决方法:program flash时直接下载elf格式文件,同时,修改bootloader.c部分代码,直接读取elf文件。按上述步骤将程序下载至flash中后,上电程序正常运行,但是速度没有提高,还是20s左右,不知道是不是工程太小,效果不明显的缘故。修改后,program flash时不再需要勾选第一个选项。Spi_read_flash.h文件。

2023-10-09 22:00:39 211 1

原创 Xilinx bootloader引导程序学习记录

工程bitstream,bootloader引导程序,microblaze应用主程序三者之间的关系如下图:上电后FPGA先加载bitStream,然后是bootloader程序,然后软核运行bootloader程序将应用主程序从flash中搬移到DDR里面,最后从DDR里面启动我们的应用主程序。工程中,led2的闪烁通过verilog来控制,生成bit文件;led3的闪烁在microblaze中控制,作为microblaze中的主程序,生成.elf文件。

2023-09-17 13:03:50 292 1

原创 Xilinx中multiboot的使用

(1)XDC文件中,CFGBVS是一个逻辑输入,VCCO_0和GND之间的引脚引用。当CFGBVS引脚为高(例如,连接VCCO_0提供3.3V或2.5V),在bank0上的配置和JTAG I/O支持在配置期间和配置后,在3.3V或2.5V下运行;当CFGBVS引脚为Low时(例如,连接到GND),bank0的I/O支持1.8V或1.5V运行(或者说:在硬件上,当VCCO_0连接2.5V或3.3V电压时,CFGBVS需要连接至VCCO_0;2为指定生成的.mcs文件;工程2:led4,led4的间断闪烁。

2023-09-10 12:57:31 337

原创 使用Microblaze对OV5640进行寄存器配置

下图为Microblaze框图:外部存储器外部存储器microblaze核debug的复位输入信号。

2023-07-30 17:39:30 467 1

原创 NIOS中SPI的使用

NIOS通过SPI向Verilog端发送控制数据,Verilog端接收SPI发送的数据,然后控制sensor工作,同时数据存储在ram中,数据存储完毕后,NIOS读取Verilog端ram中的数据。

2023-04-26 19:12:02 176 1

原创 在NIOS中自定义Component对SRAM进行访问

(1)点击new component(2)在"Component Type"中为自己的component定义Group;(3)在"Files"中添加自己的.v文件,然后点击"Aanlyze Synthesis Files";(4) 定义.v文件中的接口的类型以及接口参数;1、2、3、4分别为Avalon Slave端口,时钟接口,外部信号接口,复位接口;紫色框中需要将时钟和复位信号关联;Parameters设置需要注意Adress units;

2023-04-24 20:27:10 117

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除