自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(20)
  • 资源 (1)
  • 收藏
  • 关注

原创 FPGA hold违例

FPGA hold违例一般是异步信号导致的,可以通过以下几种方式解决:1. set_false_path或者set_multicycle_pathset_false_path -from <startpoints> -to <endpoints>set_multicycle_path <num cycles> -from <startpoints> -to <endpoints>2. async_fifo异步fifo,传统方法3. x

2020-10-28 17:48:37 1841

原创 xilinx FIFO使用细节

同步FIFO,复位后会有四个clk的rst_busy延迟。写数据会有三个clk的empty延迟

2020-10-15 10:20:36 694

转载 全局变量与局部变量在内存中的区别:

转自:https://www.runoob.com/cprogramming/c-scope-rules.html全局变量保存在内存的全局存储区中,占用静态的存储单元;局部变量保存在栈中,只有在所在函数被调用时才动态地为变量分配存储单元。在函数中声明static类型的局部变量,会存放在静态数据区,不会随着函数执行的结束而被清除。...

2020-09-25 17:52:53 525

转载 指针与函数

引自:https://www.runoob.com/cprogramming/c-passing-pointers-to-functions.htmlhttps://www.runoob.com/cprogramming/c-return-pointer-from-functions.html1.指针函数函数指针——指向函数的指针一个函数在编译之后,会占据一部分内存,而它的函数名就是这段函数的首地址。可以把一个指针声明成为一个指向函数的指针。C语言规定函数名会被转换为指向这个函数的指针,除

2020-09-22 17:40:23 146

原创 XILINX GTH眼图偏移,形状扭曲

PCB走线设计有问题、阻抗匹配不正确都会导致眼图偏移。如:合理设计走线和阻抗即可解决该问题

2020-09-01 14:29:00 637 3

原创 FPGA上电时管脚状态不确定导致板卡出现异常情况

板卡上电时,fpga管脚会有一瞬间处于不定态,这个不定态可能会导致板卡在启动瞬间出现失控现象,例如带电磁阀控制的板卡启动时异响,总线异常配置(极低的可能性,但是不能完全排除)。从FPGA自身来说,并不能完美解决该问题,因此需要依赖硬件设计来规避上电顺序带来的风险。解决方案1. 加上拉电阻,但是效果并不稳定2. 电源芯片一般带使能端,电源稳定输出标志,可以利用这两个控制信号对板卡进行上电控制3. 使用CPLD控制电源芯片使能端...

2020-09-01 11:03:17 1670

原创 vivado报找不到XXX.dll,无法继续执行代码。重新安装程序可能会解决问题

vivado报找不到XXX.dll,无法继续执行代码。重新安装程序可能会解决问题打开xilinx工程时,报出该错误。我之前装了两个版本的vivado,先装的2019.2,然后装了2017.4,后面又把2017.4卸载了,然后出现了该问题。该问题出现的原因:先装好2019.2,然后装2017.4,装后面版本的时候,会将注册表中2019.2的信息覆盖,删除2017.4后,注册表中的信息还是保留的2017.4的文件路径。解决方法:打开注册表,点击ctrl+F搜索vivado,把所有带路径的全部更换为当前

2020-07-15 11:48:36 10346 11

原创 FPGA 插上JTAG,linux会挂死

查看linux内核的低功耗模式是否开启,开启低功耗模式的话,zynq系统跑起来后,插上JTAG,会导致系统挂死/重启/串口出问题

2020-07-08 10:48:43 498

原创 verilog 任意位宽除法代码

verilog 任意位宽除法代码废话不多说,直接贴代码:`timescale 1ns / 1psmodule Divider_Module#( parameter EXT_DIVIDEND_WIDTH = 'd8 , parameter EXT_DIVISOR_WID

2020-07-07 17:57:31 1191

转载 FPGA中亚稳态的处理方式

FPGA中亚稳态的处理方式亚稳态的原理这里不赘述,直接贴方法:异步信号同步化通过延迟两个周期,将信号进行多级寄存器边缘提取,input sig_synq;wire sig_synq_o;reg[3:0] sig_synq_r;always@(posedge clk or negedge rst_n)begin if(~rst_n) sig_synq_r <...

2019-09-06 09:28:32 463

原创 C语言学习——指针(二)

C语言学习——指针(二)内存属性:内存操作的大小内存的变化性,可读可写指针指向内存空间一定要保证合法性,常见的段错误大多数跟指针指向内存的合法性有关。关键字const一类:const char *p [推荐写法] 以p为节点,往左看,*代表p是指针,char代表从p这个地址一次读一个字节,const代表只能去读,不能写。p可以随便指向哪一个地址,但是不能改变地址上的内容。cha...

2019-09-05 16:58:50 196

原创 C语言学习——指针

C语言指针的学习本文为学习麦子学院提供的教程所做的笔记。可在b站搜Linux嵌入式ARM开发教程第一阶段,第41节。C语言的操作方式CPU通过地址总线找到内存中的内容寻找(寻址)CPU要使用资源需要先通过门牌号找到一个资源,即为寻找(寻址)过程地址C语言中没有Address,而是pointer,使用pointer来描述地址的概念指针指针可以理解为内存资源的地址或者门...

2019-09-01 23:55:53 119

原创 ZYNQ的学习记录 - SOC(2.5) SDK库函数

打开SDK后,新建一个空的工程。工程建完后可以找到bsp文件夹:在bsp文件夹中的libsrc中可以看到xilinx给我提供的官方库函数,找到gpiopo文件夹:文件夹内有gpio控制的、初始化的、中断的等等。我们可以打开xgpiops.h,里面有所有gpio函数的声明点击一个函数,SDK会自动弹出函数的声明、含义以及其内部各参数的作用:下面新建C文件:注意新建文件需要添加.c后缀代...

2019-09-01 20:49:49 2622

原创 ZYNQ的学习记录 - SOC(2)MIO和EMIO学习

MIO和EMIO简介对于普通的ARM芯片来说,芯片有几百甚至是上千个管脚能够与外设相连。但是FPGA内部的ARM核不同,仅有53个MIO和64个EMIO能够与外界进行直接的信息交互,而且MIO的作用一般是固定死的,如之前所提到的UART使用的是MIO的48、49口。这其实和其特殊性有关,对于FPGA内部的ARM来说,其定位与普通的ARM芯片有所不同。FPGA内部的ARM一般只做复杂的、对...

2019-09-01 14:30:20 522

原创 ZYNQ的学习记录 - SOC(1.5)测试硬件环境

测试硬件环境导出SDK后界面是这样的:然后我们新建一个官方提供的helloworld例程来测试硬件的时钟、IO、DDR等是否配置成功:随便取个名:点击下一步可以看到:现在进行调试:设置debug:注意我之前debug了好久,总是没有打印helloworld出来,检查了硬件、串口,花了一个小时,就是没找出原因,后来在这里发现了问题所在,debug应选择使用哪一个包,我之前选择的on...

2019-09-01 10:46:39 264

原创 ZYNQ的学习记录 - SOC(1)硬件环境搭建

第一步:硬件环境搭建翻出了沉箱已久的FPGA开发板,很早以前学过,但是当时只是草草摸了一下,后面有一两年没碰过,早忘了。开发板为米联的MIZ-701N,芯片为7020。首先,搭建一个硬件环境:ZYNQ里面DDR、时钟、外设IO的配置跟板子有关,比如之所以选择48、49脚,是因为在原理图中可以看到:如果我们需要用到其他的外设,也可以根据同样的方法去做。对应硬件工程师来说,画板的时候...

2019-09-01 09:41:35 722

原创 Linux常用命令 三

系统信息相关命令学习系统信息相关命令主要是为了方便通过远程终端维护服务器时,查看服务器上的 系统日期和时间/磁盘空间占用情况/程序执行情况学习内容时间和日期datacal磁盘和目录空间dfdu进程信息pstopkill1 时间和日期命令作用data查看系统时间cal查看日历,加-y可以看一年的日历2 磁盘信息命令作用...

2019-08-31 13:54:24 60

原创 Linux常用命令 二

2.7 关机/重启命令作用shutdown 选项 时间关机/重启shutdown命令可以安全关闭或者重启系统选项含义-r重启不指定选项和参数,默认1分钟后关电脑立刻关闭/重启,时间填now...

2019-08-31 12:17:39 81

原创 putty使用ssh登录时出现Access denied

putty使用ssh登录时出现Access denied问题描述:window的防火墙已关闭使用window可以ping通Ubuntu使用putty登录服务器登录时会出现Access denied:问题出现的原因:我当时在这里直接按空格:在使用putty连接时,IP地址前应增加用户名,或者直连ip后,输入登录用户名。IP地址前添加用户名IP地址前没有用户名登录会提示log...

2019-08-31 12:04:15 13000 9

原创 常用linux命令

linux入门本文为学习Linux过程中跟随网络课程做的笔记。课程名为:Python教程_600集Python从入门到精通教程Linux最常用的几个命令命令对应英文作用lslist查看当前文件夹下的内容pwdprint work directory查看当前所在文件夹cd [目录名]change directory切换文件夹touch [...

2019-08-30 23:48:15 126

RFC2544.doc

RFC2544协议中文版,可供网络测试人员、网络测试仪开发人员使用

2019-09-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除