自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 收藏
  • 关注

原创 2.29.2.28.学习日记:位拼接符的功能以及数码管驱动

拼接符:{}1:拼接功能:a = 2’b01, b = 3’b101s = {a,b} = 5’b01_1012:移位功能:led = 4’b0111led = 4’b1011led = 4’b1101led = 4’b1110右移位: led = {led[0],led[3:1]};左移位: led = {led[2:0],led[3]};2.27.流水灯状态机模块可以用...

2019-02-28 23:26:43 344

原创 2019.2.27.学习日记以流水灯为例,掌握FPGA计数器分频以及top结构化设计

freq(分频模块):计数器分频晶振时钟:f0=50MHZ目标时钟:f1=1HZ计数器若从0开始计数则需要计数:晶振时钟/目标时钟/2 - 1( f0/f1/2 - 1)

2019-02-27 23:17:28 267

原创 2019.2.26.学习日记FPGA的三种建模方式,测试文件(tb)的编写以及modelsim的仿真。

以设计一个二输入与门举例,要求采用三种建模方式完成(数据流建模、行为建模、结构化建模),采用modelsim进行仿真,保证功能正确。1、数据流建模:以“assign”关键字出现,用来描述组合逻辑电路(输入发生变化理想中输出立刻发生变化)。输入和输出类型全部为wire,赋值方式为阻塞赋值“=”。Modelsim操作步骤:停止波形(STOP)去信号路径进行分组刷新波形,再产生(res...

2019-02-26 22:49:14 6571

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除