改写jtopo滚轮缩放代码

问题
原生的jtopo滚轮缩放在mac上用触控板触发时,会出现疯狂缩放的现象,同时还会触发浏览器的默认事件(页面前进、页面后退)
参考

地址:https://adom.as/javascript-mouse-wheel/

/** This is high-level function.
 * It must react to delta being more/less than zero.
 */
function handle(delta) {
        if (delta < 0)
        …;
        else
        …;
}

/** Event handler for mouse wheel event.
 */
function wheel(event){
        var delta = 0;
        if (!event) /* For IE. */
                event = window.event;
        if (event.wheelDelta) { /* IE/Opera. */
                delta = event.wheelDelta/120;
        } else if (event.detail) { /** Mozilla case. */
                /** In Mozilla, sign of delta is different than in IE.
                 * Also, delta is multiple of 3.
                 */
                delta = -event.detail/3;
        }
        /** If delta is nonzero, handle it.
         * Basically, delta is now positive if wheel was scrolled up,
         * and negative, if wheel was scrolled down.
         */
        if (delta)
                handle(delta);
        /** Prevent default actions caused by mouse wheel.
         * That might be ugly, but we handle scrolls somehow
         * anyway, so don't bother here..
         */
        if (event.preventDefault)
                event.preventDefault();
    event.returnValue = false;
}

/** Initialization code. 
 * If you use your own event management code, change it as required.
 */
if (window.addEventListener)
        /** DOMMouseScroll is for mozilla. */
        window.addEventListener('DOMMouseScroll', wheel, false);
/** IE/Opera. */
window.onmousewheel = document.onmousewheel = wheel;
改变

修改后不会出现疯狂缩放,同时也不会触发浏览器事件

                    // 改写 滚轮缩放
                    var event = a;
                    // retrieve delta
                    var delta = 0;
                    if (event.wheelDelta) {
                        /* IE/Opera. */
                        delta = event.wheelDelta / 120;
                    } else if (event.detail) {
                        /* Mozilla case. */
                        // In Mozilla, sign of delta is different than in IE.
                        // Also, delta is multiple of 3.
                        delta = -event.detail / 3;
                    }

                    // If delta is nonzero, handle it.
                    // Basically, delta is now positive if wheel was scrolled up,
                    // and negative, if wheel was scrolled down.
                    if (delta !== 0) {

                        // calculate the new scale
                        var scale = mytest.scene.scaleX;
                        var zoom = delta / 10;
                        if (delta < 0) {
                            zoom = zoom / (1 - zoom);
                        }
                        scale *= 1 + zoom;

                        // apply the new scale
                        mytest.scene.zoom(scale, scale);
                    }

                    // Prevent default actions caused by mouse wheel.
                    event.preventDefault();


                //     原滚轮缩放
                //    a.preventDefault();
                //    n.dispatchEventToScenes("mousewheel", b),  // 注意: 这段代码注释的话将不会触发jtopo的mousewheel事件
                //        n.dispatchEvent("mousewheel", b), // scene 
                //    null != n.wheelZoom && (a.preventDefault ? a.preventDefault() : (a = a || window.event, a.returnValue = !1), 1 == n.eagleEye.visible && n.eagleEye.update()) // 鹰眼的缩放
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
以下是Verilog代码改写为ModelSim代码模板的示例: 原始Verilog代码: ```verilog module my_module ( input clk, input rst, input [31:0] data_in, output [31:0] data_out ); // 确定信号的类型和范围 reg [31:0] my_reg = 0; wire [31:0] my_wire; // 在时钟上升沿时执行操作 always @(posedge clk) begin // 如果复位信号为1,则将寄存器值重置为0 if (rst) begin my_reg <= 0; end // 否则,将输入数据加到寄存器中 else begin my_reg <= my_reg + data_in; end end // 将寄存器的值传递到输出端口 assign data_out = my_reg; endmodule ``` 改写为ModelSim代码模板: ```verilog // 设置模块名称和端口 module my_module_tb; // 导入需要的库 `include "sv/stdlib.sv" // 定义模拟时钟和复位信号 reg clk; reg rst; // 定义模拟输入和输出信号 reg [31:0] data_in; wire [31:0] data_out; // 实例化被测模块 my_module dut ( .clk(clk), .rst(rst), .data_in(data_in), .data_out(data_out) ); // 定义时钟周期参数 parameter PERIOD = 10; // 定义模拟过程 initial begin // 初始化信号 clk = 0; rst = 1; data_in = 0; // 延迟一段时间,让复位信号稳定 #100; // 启动时钟周期 forever begin // 翻转时钟信号 clk = ~clk; // 如果时钟信号为1,则将输入数据加1 if (clk) begin data_in <= data_in + 1; end // 每隔一定时间,将复位信号翻转一次 if (time % 200 == 0) begin rst <= ~rst; end // 等待一个时钟周期 #PERIOD; end end endmodule ``` 注意:上述代码仅用于示例和说明目的,具体的实现方式可能因项目而异。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值