FPGA学习altera 系列 第二十篇 呼吸灯设计

今天给大侠带来“FPGA学习系列 altera"系列,持续更新。此学习心得是本人之前所写,所用设计软件为Quartus II 13.1,现Quartus II 新版本已更新到19+,以下仅供初学者学习参考。后续会更新其他系列,敬请关注。话不多说,上货。

 

 

对于每一个的小实验,我们都可以把它看作是一个小项目,逐步的去分析,设计,调试,最后完成功能。下面我们就开始我们的“小项目”。

项目名称:呼吸灯

具体要求:led灯在由亮到暗以及由暗到亮的逐渐变化。

项目分析: 

1. 要求分析

灯光在微电脑的控制之下完成由亮到暗的逐渐变化,感觉好像是人在呼吸。根据不同人群以及不用情况下的呼吸频率不同,笔者这里采取一分钟呼吸15次,呼气2秒钟,吸气2秒钟。

2. 实现原理

由于LED的亮度与流过的电流成正比,如果能够去控制流经LED的电流,使电流在2秒钟内从i

06-10 244
02-09 490
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值