常用的雷达信号:基于DDS的线性调频信号的产生

 

常用的雷达信号:基于DDS的线性调频信号的产生

原创:FPGA技术江湖 美女程序媛(转载请注明)

大侠好,今天由“82年的程序媛”本媛给大侠带来FPGA设计之基于DDS的线性调频信号的产生,后续本媛还会继续更新产品项目开发心得,学习心得等,欢迎大家持续关注,话不多说,上货。

 

一、 DDS工作原理

DDS(Direct Digital Synthesizer)技术是一种频率合成方法,其输出频率具有分辨率高、功耗低、频率切换速度快且频率切换时输出信号的相位连续等特点。为此在数字信号处理及硬件实现中有着很重要的作用。

DDS的结构主要由相位累加器、波形存储器、数模转换器和低通滤波器等四个大的结构组成,其结构框图如下:

其中,fclk为参考时钟,Pword为相位控制字,给定输出信号的初始相位值;Fword为频率控制字,用于控制输出信号的频率。DDS模块工作时,每来一个参考时钟,相位累加器就将频率控制字与寄存器输出的值累加,将相加后的值继续输入到寄存器中,这样构成一个循环,可以不停的对频

  • 2
    点赞
  • 62
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
06-10 228
02-09 480
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值