FPGA系统性学习笔记连载_Day14【BCD转二进制、二进制转BCD】 【原理及verilog仿真】

本篇博客详细介绍了BCD转二进制和二进制转BCD的原理,包括大四加三算法,并提供了Verilog代码实现及仿真结果验证。同时,提到了相关QQ和微信交流群信息,便于读者互动学习。
摘要由CSDN通过智能技术生成

FPGA系统性学习笔记连载_Day14【BCD转二进制、二进制转BCD】 【原理及verilog仿真】

本系列为FPGA系统性学习学员学习笔记整理分享,如有学习或者购买开发板意向,可加交流群联系群主。

连载《叁芯智能fpga设计与研发-第14天》 【BCD转二进制、二进制转BCD】 【原理及verilog仿真】

原创作者:紫枫术河 转载请联系群主授权,否则追究责任

本篇文章,记录BCD转二进制、二进制转BCD的原理,及verilog代码仿真

一、BCD转二进制

BCD码:俗称8421码,意思是用4bit来表示一个10进制数,注意这个4bit表示的数据范围是 0-9;

我做一个表格,来展示,10进制数和BCD码之间的关系

从图中可以看出来,一个十进制数,是用4bit 二进制数来表示的,且每个十进制数 A = 2^3 + 2^2 + 2^1 + 2^0来计算。

1.1、

06-10 243
02-09 489
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值