• 博客(41)
  • 收藏
  • 关注

原创 笔记连载 | Day7【16位比较器设计】 【原理及verilog实现、仿真】篇

听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第7天》【16位比较器设计】 【原理及verilog实现、仿真】作者:紫枫术河本篇文章,介绍16位比较器的实现原理及verilog实现及仿真...

2020-11-30 00:14:07 674

转载 干货 | 拆解FPGA芯片,带你深入了解其原理

​关注我们更多精彩等你发现!▌本文来源:网络整理现场可编程门阵列(FPGA)可以实现任意数字逻辑,从微处理器到视频生成器或加密矿机,一应俱全。FPGA由许多逻辑模块组成,每个逻辑模块通常由触发器和逻辑功能以及连接逻辑模块的路由网络组成。FPGA的特殊之处在于它是可编程的硬件:您可以重新定义每个逻辑块及其之间的连接,用来构建复杂的数字电路,而无需物理上连接各个门和触发器,也不必花费设计专用集成电路的费用。内部裸片显微照片FPGA是由Ross...

2020-11-30 00:13:26 1409

原创 笔记连载 | Day7 【半加器、全加器、16位加法器、16位减法器设计】 【原理及verilog实现、仿真】篇

听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第7天》【半加器、全加器、16位加法器、16位减法器设计】 【原理及verilog实现、仿真】作者:紫枫术河这篇文章,记录1bit的半加器、全加器、减法器的...

2020-11-30 00:10:13 817 1

转载 FPGA在人工智能时代的独特优势

很多世界顶尖的“建筑师”可能是你从未听说过的人,他们设计并创造出了很多你可能从未见过的神奇结构,比如在芯片内部源于沙子的复杂体系。如果你使用手机、电脑,或者通过互联网收发信息,那么你就无时无刻不在受益于这些建筑师们的伟大工作。Doug Burger博士就是这群“建筑师”里的一员。他现任微软技术院士(Technical Fellow),曾任微软研究院杰出工程师、德克萨斯大学奥斯丁分校计算机科学教授。他也是微软FPGA项目Catapult和Brainwave的首席架构师和主要负责人。2018年,Dou.

2020-11-30 00:09:20 902

转载 紫光集团债务危机:100亿何以赌明天

​关注我们更多精彩等你发现!▌本文来源:与非网(作者:裴军)一连串糟心的财务新闻过后,紫光集团迎来好消息:其控股51%的长江存储公司,以64层3D NAND闪存进入华为Mate 40供应链。本土强强联合,手拉手实现国产替代,无论后续落地如何,至少故事的开头,已经站上了舆论制高点。长江存储于2019年底首次生产64层3D NAND芯片,今年4月推出128层3D NAND,并计划于2021年底前实现每月8万片的产能目标。与紫光展锐、紫光国微与紫光股份同为紫光...

2020-11-30 00:08:12 3166

转载 烙铁-电子工程师的画笔

关注我们更多精彩等你发现!烙铁是电子工程师在电路板上作画的笔。由它调和着焊锡、助焊剂,在精确操作下弥合各类元器件与电路板之间的关系,可以焊接亦可拆卸。正是由于它的作用,才会使得一个电路从设想逐渐变成现实。同样借助于它的威力,无论多么隐蔽的电路BUG,也会显露原形。在烙铁使用中,除了恰当的温度之外,烙铁头的形状也非常重要。对于不同封装、不同管脚密度、不同大小焊点,恰当的烙铁头可以提高焊接效率,降低焊接故障。讲究的工程师,往往会同时准备配有不同烙铁头的多个焊台,根据焊接...

2020-11-28 16:37:05 737

转载 AI芯片技术发展

关注我们更多精彩等你发现!▌本文来源:StarryHeavensAbove(已授权)前段时间我在Techbeat上做了一个关于AI芯片的讲座,这里整理一个文字的版本,分享给大家。我们说AI芯片,一般是泛指所有用来加速AI应用,特别是基于神经网络的深度学习应用的硬件,它可以是一颗独立的芯片,也可以是芯片中的模块,其基本技术都是相关的。当我们讨论AI芯片出现的背景的时候,经常会看到这两张图。左边这张是openai在2018年发布的报告,简单来说,它的结论就...

2020-11-28 16:36:22 764

原创 笔记连载 | Day6 医院护士灯之Intel Q和Xilinx S-6、 ZYNQ7020三个平台的实现及验证篇

​听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第6天》【医院护士灯实验】之【intel Cyclone IV、Xilinx Spartan-6、Xilinx ZYNQ7020三个平台的实现及验证】作者:紫枫术河...

2020-11-28 16:34:46 189

原创 笔记连载 | Day6 FPGA三种建模方式区别及Verilog语法基础篇

听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第6天》【FPGA数据流建模、行为级建模、结构化建模 区别】及【Verilog HDL语法基础】作者:紫枫术河这篇文件记录,FPGA的3种建模方式及基本的V...

2020-11-27 16:27:56 312

转载 FPGA20年最有影响力的25个研究成果 – 微架构篇

很多世界顶尖的“建筑师”可能是你从未听说过的人,他们设计并创造出了很多你可能从未见过的神奇结构,比如在芯片内部的复杂体系。制造芯片的基本材料源于沙子,但芯片本身已经成为人们当代生活不可或缺的东西。如果你使用手机、电脑,或者通过互联网收发信息,那么你就无时无刻不在受益于这些建筑师们的伟大工作。FPGA是芯片的其中一种,从上世纪八十年代诞生起,FPGA已经从简单的可编程门阵列,发展成为了有着大量可编程逻辑的复杂片上系统。除了硬件结构之外,FPGA的开发工具和应用场景也都取得了长足的进步和扩展,FPGA.

2020-11-26 18:39:11 910

转载 做好芯片设计,这三种能力不可或缺

​01快速学习芯片设计相关工作,有大量的知识和细节信息需要学习,而且是限时学习,快速学习的能力非常关键。开发一颗芯片,需要广泛研究竞争对手的信息,学习相关行业标准,了解整机应用方案,调研各类供应商【IP,软件】的解决方案。读文档是绕不过去的基本技能。每一个竞品芯片的datasheet,行业标准,或者IP手册,都是大几百页,甚至上千页,有着不同的写作套路,需要快速地从这些大部头的文档资料中获取到有用的资料信息,用于设计决策,还是需要认真锻炼的。...

2020-11-26 18:37:31 1019

转载 你不一定知道的eFPGA

FPGA的旧时代似乎已经走向终结。此前,华尔街日报爆出AMD正在就收购赛灵思(Xilinx)进行谈判。如果该交易达成,交易额可能会超过300亿美元(约合人民币2014.44亿元)。这已经不是FPGA领域的首次大收购事件,早在六年前,英特尔就收购了Altera。赛灵思与Altera曾是FPGA领域的双巨头,自从赛灵思发明了FPGA,至今已有40年了,在这个期间,无数大公司想杀入这个领域,每过十年,就有一批公司退出或倒下(被收购),直到最后形成了FPGA的双巨头格局。而如今,该局面...

2020-11-26 18:34:34 1812 1

原创 笔记连载 | Day5 Xilinx ZYNQ7000系列 PS、PL、PS-PL基本开发流程之PL端篇

​听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第5天》Xilinx ZYNQ7000系列 PS、PL、PS-PL基本开发流程之《PL端》作者:紫枫术河这篇文章记录《xilinx ZYNQ7020 》 ...

2020-11-26 18:31:02 449

原创 笔记连载 | Day4 Xilinx ZYNQ7000系列 PS、PL、AXI 、启动流程基本概念篇

​听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第4天》【xilinx ZYNQ7000系列 PS、PL、PS-PL 、AXI 、启动流程 基本概念】作者:紫枫术河这篇文章记录《xilinx ZYNQ...

2020-11-26 18:29:08 445

原创 笔记连载 | Day2-3开发流程篇之ISE 14.7

​听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第2-3天》【工程建立、verilog代码编写、分析综合、仿真、程序下载、程序固化】之 ISE 14.7作者:紫枫术河这篇文章记录《xilinx SPAR...

2020-11-26 18:28:00 279

原创 开课通知 | 2021FPGA寒假班来啦!

时光荏苒感恩有你​2021 叁芯智能FPGA寒假班来啦FPGA(Field Programmable Gate Array)现场可编程门阵列,作为ASIC领域中的一种半定制电路而出现已有30年的历史了,它既解决了定制电路的无法改变功能的不足,又克服了原有可编程器件门电路数有限的缺点,可应用的场景也很广泛。近些年,FPGA云服务的推出,利用云服务的方式将只有大型公司才能长期支付使用的FPGA服务推广到了更多企业。企业可以通过FPGA云服务器进行FPGA硬件编程,...

2020-11-26 18:18:49 780

原创 笔记连载 | Day2-3开发流程篇之Quartus prime 18.0

本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第2-3天》【工程建立、verilog代码编写、分析综合、仿真、程序下载、程序固化】之 《quartus prime 18.0》作者:紫枫术河这篇文章记录《Intel Cyclone IV》 系列的基...

2020-11-23 21:24:13 329

转载 XPU时代创新者英特尔

​英特尔此次同时推出了全新服务器GPU和oneAPI软件,意味着英特尔开始释放XPU的强大实力。”作者 |吴优出品|雷锋网产业组雷锋网消息,11月11日,英特尔正式发布其全新服务器GPU,即首款数据中心的独显产品,该服务器基于Xe LP微架构,专为高密度、低时延的安卓云游戏和流媒体服务而设计。同时,英特尔还宣布将于12月正式交付相应的软件堆栈oneAPI Gold工具。英特尔此次同时推出了全新服务器GPU和oneAPI软件,意味着英特尔开始释放XP...

2020-11-23 21:22:48 320

转载 工科艺术 | 电路雕塑艺术比赛作品

转载了Hackaday的电路雕塑艺术比赛部分作品的图片,有兴趣的朋友可以点击左下角的“阅读原文”跳转到Hackaday.io网站查看完整的信息。 更多的图片 更多的项目 更多的设计创意信息 - THE END -????往期精选【免费】FPGA工程师招聘平台笔记连载 | Day1数字电路基础篇资料..

2020-11-23 21:21:36 230

转载 IC技术圈期刊 2020年第11期

IC技术圈期刊内容涵盖FPGA、前端、验证、后端、自动化、模拟、求职、管理等IC技术领域,欢迎阅读,欢迎投稿。AD9361的配置,代码,采样,脚本转换,软件使用#FPGA #AD9361 #ZYNQ本文详细描述了AD9361的配置,代码,采样,脚本转换,软件使用等等,帮助读者能够快速了解AD9361,在实际项目组了解,也提供了测试方法与测试技能,不可多得的学习好方法好实践,提升我们的动手能力。ZYNQ为什么你觉得FPGA难学?如何入门?#FPGA #FPGA #...

2020-11-22 19:15:06 479

原创 笔记连载 | Day1数字电路基础篇

听说99%的同学都来这里充电吖本系列为线下学员学习笔记整理分享,如有想要报名参加线下培训,可以点击以下超链接文章了解,购买开发板可以到叁芯智能科技企业淘宝店下单。​各类研修班 | FPGA就业研修班,短期班……叁芯智能FPGA开发板,初学小白必备!连载《叁芯智能fpga设计与研发就业班-第一天》《数字电路基础1》作者:紫枫术河一、硬件芯片与芯片能相互通讯要满足器件之间的电平条件1、模拟信号在数字电路中的表示;芯片间传递的信号可...

2020-11-22 19:13:35 258

转载 资料下载 | Vitis文档电子版

今天给大侠带来“Vitis文档电子版”,获取电子版资料,请在“FPGA技术江湖”公众号内回复“Vitis文档”,即可获取。……- End -后续会持续更新,带来Vivado、 ISE、Quartus II 、candence等安装相关设计教程,学习资源、项目资源、好文推荐等,希望大侠持续关注。大侠们,江湖偌大,继续闯荡,愿一切安好,有缘再见!往期精选电子版资料获取方式正确流程《电路》邱关源 第五版 电子版数字电...

2020-11-22 19:11:50 305

转载 为什么FPGA主频比CPU慢,却可以帮其加速?

▌本文来源:EDN电子技术设计我们知道,FPGA的频率一般只有几百MHz,而CPU的频率却高达数GHz。那么,有不少网友心中就有一个疑问:“为什么FPGA主频比CPU慢,但却可以用来帮CPU做加速?”。今天,EDN就和大家系统性地讨论下这个问题。将FPGA主频与CPU相比不妥在开始之前,首先要明确一点,将FPGA的主频与CPU比较,实际是风马牛不相及的问题。FPGA和CPU是两种完全不同的器件,前者是专用,是硬件编程,而后者是通用,是软件编程。不同...

2020-11-21 17:46:59 1491 1

原创 SANXIN-B01开发板verilog教程V3电子版

今天给大侠带来“SANXIN-B01开发板verilog教程V3-郝旭帅团队电子版”,V3版本更新了后几章节的高级设计部分,获取电子版资料,请点击左下角阅读原文,跳转叁芯智能科技官方技术论坛下载,或者登录网址www.sxznfpga.com下载。叁芯智能科技-郝旭帅团队打造“FPGA 设计与研发”学习系列, 可以让设计者从“小白”到“入门”再到“精通”。FPGA零基础入门,数字电路基础开始,初级到进阶提升,设计思想及设计方法深入理解,举一反三,Intel Quartus 、Xilinx Vivad.

2020-11-21 17:45:53 394 1

转载 PCB布局和布线的七步法

导读当前,随着PCB尺寸要求越来越小,器件密度要求越来越高,PCB设计的难度也就逐渐增大。如何在保证质量的同时缩短设计时间?这需要工程师们有过硬的技术知识,以及掌握一些设计技巧。01确定PCB的层数电路板尺寸和布线层数需要在设计初期确定。布线层的数量以及层叠(STack-up)方式会直接影响到印制线的布线和阻抗。板的大小有助于确定层叠方式和印制线宽度,实现期望的设计效果。目前多层板之间的成本差别很小,在开始设计时最好采用较多的电路层并使附铜均匀分布。...

2020-11-21 17:44:19 1125

转载 TCP/IP讲绝了!

导读本文整理了一些TCP/IP协议簇中需要必知必会的十大问题,既是面试高频问题,又是程序员必备基础素养。TCP/IP十个问题一、TCP/IP模型TCP/IP协议模型(Transmission Control Protocol/Internet Protocol),包含了一系列构成互联网基础的网络协议,是Internet的核心协议。基于TCP/IP的参考模型将协议分成四个层次,它们分别是链路层、网络层、传输层和应用层。下图表示TCP/IP模型与OSI模型各层的对照...

2020-11-21 17:42:30 198

原创 @2021届毕业,各种招聘平台大集合!

今天给大侠带来在各种招聘平台大集合,话不多说,上货。@2021届毕业!为2021届毕业生提供安全可靠的官方就业招聘网络服务查询地址。就业也要多个“心眼”,掌握“搞清楚,不马虎,多思考,慎决定”,防止上当受骗,为未来发展,职业梦想铺垫安全基石。术业有专攻,针对电子、信息、通信、集成电路类的同学们就业,可关注“FPGA技术江湖”,获取更多专业知识,更多就业技能可助你一臂之力。【免费】FPGA工程师招聘平台在当今信息爆炸的时代,建立线上求职的...

2020-11-21 17:40:45 1206 1

原创 荐读解惑 | FPGA是有门槛的,零基础并不是你想的那样,不要再被忽悠了!

FPGA是有门槛的,零基础并不是你想的那样,而且门槛不低的!本篇给非电子类专业或者非技术行出身想要转行FPGA的大侠们,做个参考,各位大侠可根据自己情况,自行避坑。本人FPGA百度贴吧吧主,今年年初到最近,有很多人加我要学习FPGA,然后我大概了解了一下,然后我大吃一惊。其实很早就先写这篇了,最近太忙了,没什么时间,今天就抽点时间大概说说。大概情况描述:某培训机构(这里就不点名了,以免引起不必要的冲突),广告力度做的很大,给很多非电子类对口专业,做销售等等有想转...

2020-11-21 17:39:31 5843 4

原创 荐读解惑 | 求求你,不要胡乱“归属”ZYNQ,其实并不是所谓的FPGA!

今天给大侠带来求求你,不要胡乱“归属”ZYNQ,其实并不是所谓的FPGA!话不多说,上货。最近真是太多人来问我学FPGA,这都不是重点,重点是上来就要学ZYNQ。我听这口气,看来学了一段时间了,然后简单的聊了几句,发现是个小白,HDL语言都没整明白,就是想要学点高端的。这里并没有看不起的意思,这里只是想要说明一点,要清楚的抓准自己的定位,看清需求,然后选择性学习。这里就简单的和大家聊聊为什么要说不要胡乱“归属”ZYNQ,其实并不是所谓的FPGA!深入接触过ZYNQ的大侠们应该都...

2020-11-21 17:37:15 1681

原创 FPGA控制 | 步进电机模块使用说明

今天给大侠带来步进电机模块使用说明,话不多说,上货。如有想要入手步进电机以及驱动模块,可在“叁芯智能科技”企业淘宝店下单。FPGA开发板,初学小白必备!01步进电机简介步进电机是将电脉冲信号转变为角位移或线位移的开环控制电机,是现代数字程序控制系统中的主要执行元件,应用极为广泛。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度,称为“步...

2020-11-21 17:32:17 2098

原创 FPGA零基础学习:图像显示系统设计

本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。后续会陆续更新 Xilinx 的 Vivado、ISE 及相关操作软件的开发的相关内容,学习FPGA设计方法及设计思想的同时,实操结合各类操作软件,会让你在技术学习道路上无比的顺畅,告别技术学习小BU

2020-11-21 17:28:54 430

原创 FPGA零基础学习:SDR SDRAM驱动设计实用进阶

本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。后续会陆续更新 Xilinx 的 Vivado、ISE 及相关操作软件的开发的相关内容,学习FPGA设计方法及设计思想的同时,实操结合各类操作软件,会让你在技术学习道路上无比的顺畅,告别技术学习小BU

2020-11-21 17:27:37 490

原创 FPGA零基础学习:OV7670 驱动设计

本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。后续会陆续更新 Xilinx 的 Vivado、ISE 及相关操作软件的开发的相关内容,学习FPGA设计方法及设计思想的同时,实操结合各类操作软件,会让你在技术学习道路上无比的顺畅,告别技术学习小BU

2020-11-21 17:25:45 461

转载 System Generator & HLS 数字信号处理教程

导读System Generator & Vivado HLS 数字信号处理教程,暨FPGA高级数字信号处理教程已经发布,详细内容见下文。疑问可能有同学会有疑问,FPGA数字信号处理的教程网上有很多,为什么还要再出一个?当然是我们不一样,而且我们的教程更加系统性和实用性。解答目前市面上绝大多数的教程都是在讲Vivado中的数字信号处理相关的IP的应用,而我们本次教程使用的工具是System Generator和HLS,其中System...

2020-11-11 14:52:58 670

转载 卡莱特(深圳)招聘FPGA工程师

今天给各位大侠带来优质企业的招聘资源,有需要的可以往下瞧一瞧看一看,感觉符合自己的,可以试一试,自行投递简历。卡莱特公司简介卡莱特(深圳)云科技有限公司,简称卡莱特,卡莱特于 2012 年在深圳成立,总部位于深圳市南山区,是一家致力于图像处理技术研发的国家高新科技企业,目前拥有深圳、北京、西安、上海、成都、美国、荷兰 7 家公司,和分布于全球的 50个服务网络,服务全球超过 100,0000 家客户。公司专注于图像处理、云联网、视频及 LED ...

2020-11-11 14:51:31 1822

原创 IC技术圈,FPGA技术江湖来了!

“FPGA技术江湖”已正式加入“IC技术圈”,大家可以通过“煮酒言欢”栏目进入IC技术圈,或者直接扫描下面二维码关注。特别推荐IC技术圈致力于建立知识、人的联系,内容涵盖FPGA、前端、验证、自动化、模拟、求职、管理等IC技术领域。这里有近50个IC技术公众号,持续更新,欢迎关注!往期精选【免费】FPGA工程师招聘平台《SystemVerilog验证 测试平台编写指南》电子版Vivado与matlab系统开发设计 system gen...

2020-11-09 20:09:18 305

转载 工科生的艺术 | 电路纹身

▌本文来源:TsinghuaJoking(已授权)能够工作的电路纹身,你也想拥有么?一起来欣赏工科生的艺术!▲ 能够工作的电路纹身▲ 印刷电路板纹身▲ 导电墨水在皮肤上组成工作电路▲ 具有遥控功能的导电天线纹身▲ 印制在皮肤上的发光项链▲ 绘制有印刷电路图案的纹身▲ 印制在皮肤表面的生物电子电路...

2020-11-05 15:48:41 485

转载 ATtiny85单片机制作PCB小提琴

▌本文来源:电子森林今天给大侠带来用ATtiny85单片机制作PCB小提琴,先看下图:一只小提琴,是不是很小?它是如何做的呢?看下面的硬件构成和软件系统:是不是很简单?几颗器件构成一个带有声、光效果的玩具。创意!看看它的PCB板:焊接上元器件之后的效果:加上一块锂电池,就可以“便携”了。看下面的两个图片效果是不是很炫酷啊?...

2020-11-04 18:21:17 417

原创 FPGA | Xilinx Spartan-7

今天给大侠带来简介Xilinx Spartan-7,Spartan-7延续了28nm工艺,更加巩固了 Xilinx在28nm的领导地位,今天聊聊 Spartan-7到底有哪些特色、优势,相比前一代有什么不同点,最主要的是,作为使用者,我们应该在怎么选择使用这款新的器件。话不多说,上货。近日,赛灵思公司再度取得一项里程碑式成就——Spartan 系列器件销量突破 10 亿!这是赛灵思其他产品组合都尚未企及的重要里程碑。作为赛灵思成本优化型产品系列中的旗舰款,Spartan 系列器件...

2020-11-03 12:00:07 4457 2

转载 图解 | 带你秒懂5G芯片

免责声明:本文为转载文章,转载此文目的在于传递更多信息,版权归原作者所有,如涉及侵权,请后台联系小编进行处理。- THE END -????往期精选【免费】FPGA工程师招聘平台源码系列:基于FPGA的中值滤波器设计(附源码)源码系列:基于FPGA的PS2通信电路设计(附源码)源码系列:基于FPGA数字时钟的设计(附源工程)源码系列:基于FPGA实时时钟的设计(附源工程)FPGA工程师就业班,11月份开...

2020-11-02 16:29:11 819 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除