PHP 导出数据到Excel

[手动狗脸]

交代一下背景:用的是TP 的框架,导出用的插件是PHPExcel

以下为目录结构:

在这里插入图片描述
直接上代码

class ExportAction extends Action
{


    /**
     * Excel导出
     * @param $fileName(文件名)
     * @param $headArr (表头)
     * @param $data  (每一行的数据)
     * @throws \PHPExcel_Exception
     * @throws \PHPExcel_Reader_Exception
     */
    function exportExcel()
    {
        include_once(THINK_PATH . "Extend/Vendor/PHPExcel/PHPExcel.php");
        include_once(THINK_PATH . "Extend/Vendor/PHPExcel/PHPExcel/Writer/Excel2007.php");
        include_once(THINK_PATH . "Extend/Vendor/PHPExcel/PHPExcel/Writer/Excel5.php");
        include_once(THINK_PATH . "Extend/Vendor/PHPExcel/PHPExcel/IOFactory.php");

        $data = self::getData();
        $fileName="数据详情";
        $headArr=self::getColumn();
        if (empty($data) || !is_array($data)) {
            die("data must be a array");
        }
        if (empty($fileName)) {
            exit;
        }
        $date = date("Y_m_d", time());
        $fileName .= "_{$date}.xlsx";

        //创建新的PHPExcel对象
        $objPHPExcel = new \PHPExcel();
        $objProps = $objPHPExcel->getProperties();

        //设置表头
        $key = ord("A");
        $key2 = ord("A");
        $colum2 = '';
        $objActSheet = $objPHPExcel->getActiveSheet();
        $objPHPExcel->getActiveSheet()->getColumnDimension('A')->setWidth(25);
        foreach ($headArr as $v) {
            $colum = chr($key);
            $objPHPExcel->setActiveSheetIndex(0)->setCellValue($colum2 . $colum . '1', $v);
            if ($key < 90) {
                $key += 1;
            } else {
                $key = ord("A");
                $colum2 = chr($key2);
                $key2++;
            }
        }
        //exit;
        $column = 2;

        foreach ($data as $key => $rows) { //行写入
            $span = ord("A");
            $span2 = ord("A");
            $j2 = '';
            foreach ($rows as $keyName => $value) {// 列写入
                $j = chr($span);
                //$objActSheet->setCellValue($j.$column, $value);
                //把每个单元格设置成分文本类型
                //dump($j2.$j.$column);
                $objActSheet->setCellValueExplicit($j2 . $j . $column, $value, \PHPExcel_Cell_DataType::TYPE_STRING);

                if ($span < 90) {
                    $span += 1;
                } else {
                    $span = ord("A");
                    $j2 = chr($span2);
                    $span2++;
                }
            }
            $column++;
        }
        // exit;
        $fileName = iconv("utf-8", "gb2312", $fileName);
        //重命名表
        $objPHPExcel->getActiveSheet()->setTitle('Simple');
        //设置活动单指数到第一个表,所以Excel打开这是第一个表
        $objPHPExcel->setActiveSheetIndex(0);
        //将输出重定向到一个客户端web浏览器(Excel2007)
        header('Content-Type: application/vnd.openxmlformats-officedocument.spreadsheetml.sheet');
        header("Content-Disposition: attachment; filename=\"$fileName\"");
        header('Cache-Control: max-age=0');
        $objWriter = \PHPExcel_IOFactory::createWriter($objPHPExcel, 'Excel2007');
        if (!empty($_GET['excel'])) {
            $objWriter->save('php://output'); //文件通过浏览器下载
        } /*else {
            $objWriter->save($fileName); //脚本方式运行,保存在当前目录
        }*/
        $objWriter->save('php://output');
        exit;

    }


    // 处理问卷调查数据
     function getData()
    {

        $answer = M("answer");
        $where['id'] = $_GET['id'];
        $answer->where($where)->getField("answers");
        $studentList = [
            ['20190101', 'student01', '1班','school2'],
            ['20190102','student02', '1班'],
            ['20190103','student03', '1班','school3']
        ];
        return $studentList;
    }

    //Excel 列标题
    protected function getColumn(){
        $collist=['名字','学校','班级','年龄','a5','a6','a7','a8','a9','a10','a11','a12','a13','a14','a15','a16','a17','b1','b2','b3','b4','b5','b6','c1','c2','c3','c4','c5','c6','c7','c8','c9','c10','c11','c12', 'd1','d2','d3','d4', 'e1	','e2','e3','e4','e5','e6','e7','e8','e9','e10','e11','f1','f2','f3','f4','f5','f6','f7','f8','f9','g1','g2',
            'g3','g4','g5','g6','g7','g8','g9','g10','g11','g12','g13','g14','g15','g16','g17','g18','g19','g20','g21','g22','g23','g24','g25','g26','g27','g28','g29','g30','g31','g32','g33','g34','g35'];

        return $collist;
    }
}

附上效果图:
在这里插入图片描述

参考链接:www.jb51.net/article/147099.htm

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值