- 博客(70)
- 收藏
- 关注
原创 语音播报模块 JQ8400-FL 的使用
2019 宏晶杯单片机比赛 光源追踪器2019 传感器原理与应用课程大作业 转盘测速仪文章目录调用场景:依赖:代码:光源追踪器代码:转盘测速仪代码:调用场景:依赖:STC 的 IAP15W4K58S4 超级通用串口程序(秘籍)代码:光源追踪器代码:voice.h :#ifndef __VOICE_H#define __VOICE_H#include "15W4...
2020-03-30 12:01:28 5602 3
原创 STC 的 IAP15W4K58S4 超级通用串口程序(秘籍)
2019 宏晶杯单片机比赛 光源追踪器2019 传感器原理与应用 转盘测速仪主要是基于 FIFO 数据结构,实现的带缓冲的串口程序,当然有的微处理带有硬件的串口 FIFO,这里是软件定义的 FIFO调用场景:代码:uart.h :#ifndef __UART_H#define __UART_H#include "15w4kxxs4.h"/*************引...
2020-03-30 11:53:44 3531
原创 舵机的使用
2019 电子设计大赛 激光炮题目 写的程序依赖:pwm.h pwm.c调用场景:2019 电子设计大赛 激光炮题目 主程序代码:pwm.h :#ifndef __PWM_H#define __PWM_H#include "sys.h"void TIM14_PWM_Init(u32 arr,u32 psc);void TIM3_PWM_Init(u32 arr,u...
2020-03-30 11:42:16 1163
原创 GY-25 倾斜度角度模块 串口直接输出角度数据 MPU-6050 传感器 的使用
2019 电子设计大赛 激光炮题目 写的程序外形:链接:GY-25 倾斜度角度模块 串口直接输出角度数据 MPU-6050 传感器依赖:usart_all.h usart_all.c 见 STM32超级通用串口程序(秘籍)调用场景:2019 电子设计大赛 激光炮题目 主程序 最后没用角度传感器,用的自己间舵机角度和 PWM 参数的对应表代码:angle.h :#ifn...
2020-03-30 11:35:31 4597 2
原创 2019 电子设计大赛 激光炮题目 主程序
2019 电子设计大赛 激光炮题目 写的程序代码:main.c#include "stm32f4xx.h"#include "usart_all.h"#include "stdio.h"#include "math.h"#include "delay.h"#include "hmi.h" //串口屏相关#include "servo.h" //舵机控制#include...
2020-03-30 11:33:29 1264
原创 淘晶驰串口屏
2019 电子设计大赛 激光炮题目 写的程序依赖:usart_all.h usart_all.c 见 STM32超级通用串口程序(秘籍)camera.h camera.c 见 星瞳科技 OpenMV 的使用代码:hmi.h :#ifndef __HMI_H#define __HMI_H#include "sys.h"void HMI_sendCmdEnd(void);...
2020-03-30 11:22:17 3725
原创 星瞳科技 OpenMV 的使用
2019 电子设计大赛 激光炮题目 写的程序依赖:usart_all.h usart_all.c 见 STM32超级通用串口程序(秘籍)调用场景:代码:camera.h :#ifndef __CAMERA_H#define __CAMERA_H#include "sys.h"void CAMERA_Init(void);void CAMERA_Send_Cmd...
2020-03-30 11:18:56 3736
原创 语音模块(型号无从考察,但是是不插卡使用usb下载的)
依赖:也是通过串口进行控制的,usart_all.h usart_all.c 见 STM32超级通用串口程序(秘籍)代码:speak.c#include "usart_all.h"void SPEAK_Init(){ USART2_Init(9600); //将音量设为最大 USART2_PutChar(0x7e); USART2_PutChar(0...
2020-03-30 11:09:14 270
原创 80米工业激光测距模块的使用
2019 电子设计大赛 激光炮题目 使用的模块和程序外形:购买连接:80米工业激光测距驱动代码:也是通过串口进行控制的,usart_all.h usart_all.c 见 STM32超级通用串口程序(秘籍)laser.h :#ifndef __LASER_H#define __LASER_H#include "sys.h"void LASER_Init(void);...
2020-03-30 11:04:26 1690 2
原创 STM32超级通用串口程序(秘籍)
主要是基于 FIFO 数据结构,实现的带缓冲的串口程序,当然有的微处理带有硬件的串口 FIFO,这里是软件定义的 FIFOusart_all.h :#ifndef __USART_ALL_H#define __USART_ALL_H#include "sys.h"#define myUSART1 1#define myUSART2 1#define myUSART3 1#def...
2020-03-30 10:55:40 2121
原创 CSDN Markdown 图片大小、居中设置
大小设置方法1:![Alt](https://avatar.csdn.net/7/7/B/1_ralf_hx163com.jpg =100x100)或者![Alt](https://avatar.csdn.net/7/7/B/1_ralf_hx163com.jpg =100x)注意:=前面有空格,中间那个是英文字母x,也可以不写高度,但x要写方法2:<img src="ht...
2020-03-27 20:00:16 384
原创 Windows 的快捷键
1 打开设置Windows + I2 打开快捷菜单(这个很不错)Windows + X像设备管理器、磁盘管理器、事件管理器、计算机管理器,可以从这里面启动,别的就没必要了。3 关闭窗口(这个很不错)Alt + F4在桌面下也可以进入关机页面。...
2020-03-27 18:44:59 123
原创 浏览器接管电脑键盘自动按键
环境:ChromeF12 打开开发者工具进入 console// 开始自动翻页HTMLElement.prototype.pressKey = function(code) { var evt = document.createEvent("UIEvents"); evt.keyCode = code; evt.initEvent("keydown", true, true); ...
2020-03-26 15:55:22 559
原创 依赖VMVirtual的Genymotion启动Android虚拟机无效的解决
之前VM virtualBox只是为Genymotion运行Android虚拟机用的。上个嵌入式操作系统课,又在VM virtualBox里面安了个Ubuntu。然而今天发现Android虚拟机启动不了了,回去一看Ubuntu也启动不了了。。。神一般得想起来当时百度了一下VMWare和VM virtualBox的区别(因为老师给的教程是在VMWare上安装),然后看网上还有提到hyper-v的,脑...
2020-02-21 21:04:25 256
原创 JAVA命令
文章目录文件名带空格中文编码文件名带空格Program Files 的别名 PROGRA~1 ,也可以使用引号括起来,但是不能括到 C:。// "C:\Program Files"\Java\jdk1.8.0_221\bin\javac Test.java 不可以// C:\PROGRA~1\Java\jdk1.8.0_221\bin\javac Test.java 可以// 'C:\P...
2020-02-04 18:25:08 192
转载 关于JAVA项目中CLASSPATH路径详解
在dos下编译java程序,就要用到classpath这个概念,尤其是在没有设置环境变量的时候。classpath就是存放.class等编译后文件的路径。javac:如果当前你要编译的java文件中引用了其它的类(比如说:继承),但该引用类的.class文件不在当前目录下,这种情况下就需要在javac命令后面加上-classpath参数,通过使用以下三种类型的方法 来指导编译器在编译的时候去指定...
2020-02-04 18:17:50 950
原创 django
django常用命令1、创建项目命令:django-admin startproject demo2、创建应用命令:python manage.py startapp demo3、运行服务器命令:python manage.py runsever(runserver 后面可以跟地址:端口号,如果不写默认本地8000端口启动)4、生成数据迁移文件:python manage.py make...
2020-01-27 16:26:40 453
转载 Flutter相关博文
1. flutter布局widget 页面widget介绍flutter布局 页面直接跳入4.flutter布局 5.flutter页面查阅2. 根据项目具体实战学习Flutter实战学习Flutter
2019-12-21 17:49:53 88
原创 Dart语法
1. ?. ??/** * 1、条件运算符(?) 如果对象没实例化 或者是null 加上?不会报错 */Person p;p?.printInfo();?? 表示前者有值取前者,否则取后者2. 关键字 dynamic 什么作用dynamic类型具有所有可能的属性和方法。Dart语言中函数方法都有dynamic类型作为函数的返回类型,函数的参数也都有dynamic类型。其实...
2019-12-21 17:49:18 118
原创 知识农场-开发过程记录
1. 从UI例程中选取素材根据手绘页面,从UI例程中选取素材,做好记录2. 主页面框架的搭建2019.12.19-14:14主页面有上边框显示“头像”、页面名称、“操作按钮”下边框是导航栏,有五个,中间是个圆形浮动特殊导航栏。1.先去例子中找合适的。找到Blog-Blog Home12.开始理清例子代码逻辑2.1例子使用的widget嵌套关系各层widget都是什么,每个wi...
2019-12-21 17:49:07 229
原创 电子设计大赛--实验记录
一、运放1、单电源信号输入范围问题,以及同相反相问题尝试一:环境:5V单电源供电、反相输入、1/5倍衰减结果:输出为直流,结果显然不对尝试二:环境:+-5V双电源供电、反相输入、1/5倍衰减结果:输出为交流信号,结果正确意外:输出信号为预期的二倍原因:信号源输出有50欧负载阻抗匹配,所以运放的输入要做50欧阻抗匹配尝试三:环境:5V单电源供电、反相输入、...
2019-12-16 11:06:56 151
原创 电子设计大赛--理论分析记录
1 运放1.1 输入阻抗输出阻抗1.1.1 输入阻抗分析1、阻抗匹配,是保证输入偏置电压相等,一般不匹配问题也不大,就是精度可能受影响(这个并不是我想知道的)2、(这个是我需要知道的)50欧姆和信号源50欧内阻匹配这个阻抗匹配会有-6dB的损失1、在第一张图里,此时源阻抗50欧姆是指信号源的阻抗,比如信号发生器的输出电阻一般设置为50欧姆,你设置的信号发生器的幅值和...
2019-12-16 11:06:43 508
原创 数字信号处理教程
全通滤波器全通滤波器的作用很重要,它能在不改变系统幅频响应的情况下,改变系统零点位置。全通滤波器的极点全在单位圆内,零点全在单位圆外,更重要的是零极点是关于单位圆镜像的,且零点以共轭对形式出现,极点以共轭对形式出现。还有一点值得注意,但知道了滤波器的零极点的分布情况可以写出滤波器的系统函数(分子体现零点,分母体现极点)。如全通滤波器的系统函数: Hap(z)=∏i=0N1−1ai∗z−11−...
2019-12-16 11:05:31 1060
原创 ARM汇编程序模块
1. 配置各个模式堆栈指针、中断禁止位;;; Copyright ARM Ltd 2001. All rights reserved.;; This module performs ROM/RAM remapping (if required), initializes stack ; pointers and interrupts for each mode, and finally b...
2019-12-16 11:05:07 182
原创 关于AXD调试的详细探索
1. 使用擦除成空的Flash1.1 ADS设置RO为0x0,Image Entry Point为0x0,下载axf第七次实验:1.ADS反汇编:2.AXD反汇编: 显然二者是不匹配的,至于为什么不知道。。。又仔细看了一下,不仅是中断矢量不匹配,而且其他代码也不匹配。3.JFlash打开的bin文件4.问题解决: 通过去对比第六次实验,发现中断向量表少写了一个中断。(这个...
2019-12-16 11:04:45 1397
原创 基于ARM内核的处理器开发
时钟2440有两个PLL,一个是MPLL一个是UPLL,UPLL专用于USB设备,常用的频率为48MHz和96MHz。MPLL用于CPU和其他外围设备,用于产生FCLK、HCLK、PCLK三种频率。上电时,PLL并没有启动,FCLK=Fin=12MHz,若要提高系统时钟,需要软件来启动PLL中断标志位清零一定要注意,写1清零。要想清除某一中断标志位,需要向对应的位写1。向INTPND等于“...
2019-12-05 20:04:02 590
原创 ARM汇编 C语言 混合编程
大体架构文件init.s IMPORT main AREA init, CODE, READONLY ENTRY CODE32start BL main文件main2.c#include <stdio.h>int main() {}注意:这里有main、main2两个标识符,是为了知道汇编程序中 IMPORT main 是引入的文件还是函数,现在看了好像是函...
2019-12-05 20:03:39 673
转载 FPGA大牛博客汇总
FPGA大牛博客汇总(1) 昵称:yf869778412链接地址:https://www.cnblogs.com/chengqi521/p/8242183.html(2) 昵称:FPGADesigner...
2019-11-27 16:15:21 338
原创 Java考试
启动一个线程是调用start()方法,使线程所代表的虚拟处理机处于可运行状态,这意味着它可以由JVM调度并执行,这并不意味着线程就会立即运行。接口使用implements,可以implements多个接口。抽象类和类需要使用extends,只能extends一个。Math类和String类一样都是用final修饰,所以不能有子类,还有就是它的构造方法是私有的,也就是我们不能通过new的方法在...
2019-11-27 15:49:22 198
原创 VHDL
一、VHDL程序的基本结构library ieee;use ieee.std_logic_1164.all;entity test is port( d_in: in std_logic; d_out: out std_logic);end entity;architecture behave of test isbegin process begin xxxxx...
2019-11-26 18:56:46 570
原创 matlab-基本的编程语言功能
1. 常规运算取余rem(n,m) mod(n,m)当x和y的符号不同时,rem函数结果的符号和n的一样,而mod和m的一样。转置' 共轭转置.' 普通转置短除法fix(x) : 截尾取整.fix(37/10) = 3科学计数法14000可写成 1.4e42. 分支结构if语句if(mod(length(E1), 2) == 1) E2 = [E2...
2019-11-21 19:33:23 745
原创 Java swing GUI
1.获取用户名与密码界面构建:// 用户名输入框JTextField textFieldUser = new JTextField();textFieldUser.setBounds(125, 50, 100, 15);contentPane.add(textFieldUser);textFieldUser.setColumns(10); // 什么意思// 密码输入框JPassw...
2019-11-20 21:05:54 239
原创 sql语句(mysql)
创建数据库DROP DATABASE if EXISTS doctor_patient;CREATE DATABASE doctor_patient CHARACTER SET utf8;如何数据库中存有中文,记得加CHARACTER SET utf8创建视图DROP VIEW IF EXISTS medical;CREATE VIEW medical asSELECT docto...
2019-11-13 20:27:53 157
空空如也
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人