VHDL
灰灰灰灰!
这个作者很懒,什么都没留下…
展开
-
数字电路基础元件——VHDL代码
数电基础元件VHDL代码计数器数码管译码器分频器延时按键消抖 计数器 library IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY Counter8421 IS PORT(clk,rst :IN STD_LOGIC; cnt:OUT STD_LOGIC_VECTOR(3 downto 0...原创 2019-06-04 16:27:28 · 728 阅读 · 0 评论 -
LED_BUPT
LED_BUPT LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY LED_BUPT IS PORT(clk:IN STD_LOGIC; rst:IN STD_LOGIC; disp_row:OUT STD_LOGIC_VECTOR(7 downto 0); -- ...原创 2019-09-16 22:32:18 · 179 阅读 · 0 评论 -
4*4 键盘输入
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY key_scan is port(clk:in std_logic; rst:in std_logic; key_col:out std_logic_vector(0 to 3); key_row:in std_...原创 2019-09-17 22:41:33 · 591 阅读 · 0 评论