自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(36)
  • 收藏
  • 关注

原创 FPGA开发(4)——AXI_LITE总线协议

介绍了axi总线协议,并且完成了axi-lite协议的波形图绘制、代码编写以及测试

2022-11-23 21:28:56 6685 1

原创 ZYNQ图像处理项目——线性神经网络识别mnist

利用ZYNQ来实现线性神经网络,识别手写数字

2022-11-20 21:19:57 2982 16

原创 ZYNQ图像处理项目——模板匹配数字识别(3)

ZYNQ图像处理,数字识别

2022-10-30 14:43:42 2558 12

原创 ZYNQ图像处理项目——模板匹配数字识别(2)

ZYNQ模板匹配数字识别

2022-10-24 16:13:44 1538

原创 ZYNQ图像处理项目——模板匹配数字识别(1)

zynq数字识别,matlab仿真

2022-10-16 21:22:38 3420 1

原创 ZYNQ图像处理项目——帧差法运动目标跟踪

帧差法

2022-10-01 18:41:27 6345 32

原创 ads设计Doherty功放(2)

ads设计doherty功放

2022-09-25 15:57:23 7418 33

原创 ads设计Doherty功放(1)

Doherty功放的设计

2022-09-24 22:00:57 10972 64

原创 FPGA开发(3)——fifo获得3×3数据矩阵

fifo实现移位寄存器

2022-09-16 16:42:30 3268 1

原创 ZYNQ图像处理(8)——形态学滤波

FPGA形态学滤波

2022-09-13 17:31:36 3140 5

原创 ZYNQ图像处理(7)——sobel边缘检测

sobel边缘检测

2022-09-13 17:07:35 7532 8

原创 ZYNQ图像处理(6)——均值滤波和中值滤波

FPGA图像处理,均值和中值滤波

2022-09-13 14:31:47 12977 7

原创 ZYNQ图像处理(5)——基本图像处理和matlab仿真

基本图像处理和matlab仿真

2022-09-01 14:45:03 1935

原创 ZYNQ图像处理(4)——灰度图像转二值化图像

gray2bin

2022-08-29 21:19:08 6026 1

原创 ZYNQ图像处理(3)——RGB图像转灰度图像

FPGA实现RGB图像转YUV图像

2022-08-27 21:52:45 3674 3

原创 ZYNQ图像处理(2)——ov5640_hdmi显示环境搭建

ZYNQ图像处理

2022-08-19 21:22:16 10364 9

原创 ZYNQ图像处理(1)——vdma_hdmi显示环境搭建

ZYNQ图像处理

2022-08-17 23:50:39 8388 2

原创 FPGA开发(2)——IIC通信

FPGA开发之IIC通信

2022-06-25 15:16:52 5027 1

原创 FPGA开发(1)——串口通信

FPGA开发之串口通信

2022-06-24 22:03:29 3279 3

原创 ads操作(1)——关于ads版图的导出和设计

关于ads版图导出加工

2022-06-05 21:25:57 14773 5

原创 Verilog练习(3)——同步FIFO读写测试

1、fifo是一种先进先出的寄存方式,采用一个双端口的ram,一个端口用于读,另外一个端口用于写。fifo没有地址,采用先进先出。2、fifo读写测试主要包括四个部分:一个伪双端口ram、一个控制空和满标志的计数器、写地址、读地址。相应verilog如下。并且编写tb测试代码。module sameCLK_fifo( input clk, input rst_n, input re_en, input wr_en, input [7:0] data_in, output wire [7:

2022-03-13 15:09:50 1695

原创 Verilog练习(2)——伪双端口ram读写测试

1、伪双端口ram与单端口ram区别是有两个端口,一个端口只能读,一个端口只能写,由此得名。这里往伪双端口ram中写入32个8位信号。之后延迟一个时钟读取。2、Verilog主要由三部分,tb测试信号、伪双端口ram、伪双端口读写测试。`timescale 1ns/1psmodule tb();reg clk;reg rst_n;initial begin clk=1'b0; rst_n=1'b0; #100 rst_n=1'b1;endalways #10 clk=~cl

2022-03-12 22:38:38 2297 2

原创 Verilog练习(1)——ram读写测试

1、明确设计目标,是要将64个八位信号写到ram中,然后倒序读出2、测试代码主要分三块:ram模块、ram读写模块、TB文件//一个rammodule ip_ram( input clk, input en, input wr_en, input [5:0] addr, input [7:0] data, output reg [7:0] q); reg [7:0] ram[63:0]; always@(posedge clk)begin //write to ram if

2022-03-12 19:59:04 5576

原创 ads滤波器仿真(3)——三阶发夹线带通滤波器及其优化

选择工作在2GHz,带宽为1.8-2.2G,FBW=20%选择0.1dB三阶切比雪夫低通原型滤波器g0=1.0 g1=1.0316 g2=1.1474 g3=1.0316 g4=1.0相应的耦合系数和外部QeQe1=Qe2=5.158 M1=M2=0.184滤波器的板材厚度选择1.27mm,宽度为1mm,耦合器间隔为2mm,由之前EM仿真得到Qe和M如下选择抽头位置8mm,耦合间距0.24mm作为初始参数初始原理图仿真结果和最佳的变量数值初始版图仿真结果发现版图和原理图差别很大,

2022-02-24 09:47:07 3564

原创 ads滤波器仿真(2)——带通滤波器

2022-02-24 09:37:12 4795 1

原创 ads传统功放设计笔记(3)

传统功放设计的流程一般包括以下这些步骤:1、明确设计目标2、选择功放管子3、确定静态工作点4、设计偏置电路5、判断稳定性6、负载与源牵引7、输入输出阻抗匹配8、原理图优化9、大信号仿真10、版图设计11、版图联合仿真12、pcb设计第二节我们设计仿真了功放的原理图。之后我们需要对版图进行设计和仿真。10、版图设计首先将S参数控件都打叉,还要去掉电源、源负载阻抗、地、芯片、电容。在原先电容和芯片的位置加上gap,设置好长度和间隔。之后在电源引脚、输入输出引脚和隔离的两端加上端口。

2022-01-31 15:00:36 4576

原创 ads传统功放设计笔记(2)

传统功放设计的流程一般包括以下这些步骤:1、明确设计目标2、选择功放管子3、确定静态工作点4、设计偏置电路5、判断稳定性6、负载与源牵引7、输入输出阻抗匹配8、原理图优化9、大信号仿真10、版图设计11、版图联合仿真12、pcb设计第一节总结了前面五步的设计步骤,接下去是进行负载和源牵引。6、负载与源牵引可以直接通过ADS中的负载与源牵引模板来进行设计。负载与源牵引的电路如图所示,只需将我们的CGH40010芯片加入进去,连接好电路,这里还加入了RC稳定电路。之后设置好我们

2022-01-29 21:51:14 4185

原创 ads传统功放设计笔记(1)

传统功放设计的流程一般包括以下这些步骤:1、明确设计目标2、选择功放管子3、确定静态工作点4、设计偏置电路5、判断稳定性6、负载与源牵引7、输入输出阻抗匹配8、原理图优化9、单音双音信号仿真10、版图设计11、版图小信号、大信号仿真12、pcb设计1、明确设计目标我们这里以设计一个AB类放大器为例,该放大器工作在3GHz,小信号增益大于17dB。输出功率需要达到41dBm及以上,在该输出功率下效率大于60%。2、选择功放管子参看芯片手册可知,CGH40010管子适合用在AB

2022-01-26 15:51:17 5398 7

原创 ads滤波器仿真(1)——低通滤波器

1、集总参数低通滤波器传递函数1.1 巴特沃斯最平坦型滤波器,通带内是具有最大平坦,极点是在单位圆上,无零点。1.2 切比雪夫滤波器,通带内的纹波大小相等,无零点。1.3 椭圆滤波器,通带和阻带都有等纹波2、集总参数滤波器设计表3、集总参数滤波器仿真4、高低阻抗线和短截线低通滤波器4.1 高低阻抗线粗略估计值精确值4.1 并联短截线5、版图仿真...

2022-01-16 13:07:18 6001

原创 微波工程(7)——谐振器理论

2022-01-14 13:24:48 384

原创 微波工程(6)——射频放大器设计

2021-12-24 21:38:27 1022

原创 微波工程(5)——滤波器

2021-12-24 15:29:46 415

原创 微波工程(4)——阻抗匹配

2021-12-22 20:15:40 489

原创 微波工程(3)——网络

2021-12-21 15:30:02 274 1

原创 微波工程(2)——传输线理论

2021-12-21 11:08:42 611

原创 微波工程(1)——电磁理论

1、麦克斯韦方程组

2021-08-20 21:57:56 2313

matlab实现线性神经网络

matlab实现线性神经网络

2022-11-20

mnist数据集的读取和训练

mnist数据集的读取和训练过程,采用了两种办法,一种是cnn,一种是线性神经网络。将训练好的权重保存下来,用于移植到ZYNQ来实现手写数字识别。

2022-11-20

matlab实现标准印刷体数字的识别代码

matlab实现标准印刷体数字的识别代码

2022-11-01

matlab实现的图像处理程序

matlab实现的图像处理 包括了读取图像,对图像滤波、边缘检测等

2022-11-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除