自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

转载 Matlab画图线型、符号及颜色汇总

转载自该CSDN:https://blog.csdn.net/qq_40969467/article/details/90758281。

2021-07-02 16:30:18 1918

原创 FSK过零检测技术软件实现

FSK过零检测技术前言原理FSK信号制式描述算法描述仿真分析前言最近调试了一个FSK解调的项目,期间换了两种算法,其中基于过零检测技术的FSK软件解调方法最后是最好用的一种,特意分享出来给大家!先附上对应github源码连接:fsk过零检测github源码地址(经过工程验证)原理FSK信号制式描述根据《中国来电显示标准》,在两次振铃之间产生数据传送。FSK制式信号是连续相位移频键控,由两种不同的频率表示逻辑0和逻辑1。FSK数据要求:逻辑1: 1200Hz±1%逻辑0: 2200Hz±

2021-06-05 10:32:27 6544 1

转载 Verilog仿真过程中如何读文件到指定数组

这里只是一个记录中转站全部参考博文:https://blog.csdn.net/childbor/article/details/76408241

2021-03-09 10:17:08 663

原创 Xilinx采集高速AD之时钟约束篇

一、Xilinx 时钟约束分类鉴于网上对时钟的介绍不全面、需要各种搜集的问题,自己整理了一篇Xilinx时钟约束进行记录。二、高速AD转换芯片约束实例附上电路时序图等,或者至少说明一番约束一般时钟输入引脚,这里将PCB板上输入给FPGA的时钟进行约束create_clock -period 16.129 [get_ports FPGA_CLK_P]约束ADbit同步引脚并重命名将引脚重命名为AdcBitClkcreate_clock -period 4.032 -name AdcBitCl

2021-03-03 20:40:32 4165 5

原创 Vivado调试提示Program错误及解决办法

Vivado调试提示Program错误及解决办法一、错误描述今日在下载程序到Xilinx芯片的过程中,下载程序一直出错,下载到99%然后弹出错误提示。错误提示共有两种,第一个如下:WARNING: [Labtools 27-3361] The debug hub core was not detected.Resolution:Make sure the clock connected to the debug hub (dbg_hub) core is a free running cloc

2021-02-23 08:54:43 15477

原创 基于PCA的图像压缩及人脸识别算法

基于PCA的图像压缩及人脸识别算法一、PCA基础知识二、 算法分析与MATLAB仿真原始数据图像的处理功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入一、PCA基础知识PCA(Principal Component Analys

2021-02-04 09:42:19 3124 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除