正负脉宽调制信号发生器设计

程序cnt6

cnt6程序

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY  CNT6 IS
   PORT(CLK,LD:IN STD_LOGIC;
        D:IN INTEGER RANGE 0 TO 63;
        CAO:OUT STD_LOGIC);
END CNT6;
ARCHITECTURE ART OF CNT6 IS
SIGNAL COUNT:INTEGER RANGE 0 TO 63;
BEGIN
PROCESS(CLK,COUNT) IS
BEGIN
   IF CLK'EVENT AND CLK='1' THEN
        IF LD='1' THEN COUNT<=D;
          ELSE COUNT<=COUNT+1;
        END IF;
      END IF;
END PROCESS;
   PROCESS(CLK,COUNT)IS
   BEGIN 
     IF CLK'EVENT AND CLK='1' THEN
        IF COUNT=63 THEN 
     CAO<='1';
     ELSE 
     CAO<='0';
  END IF;
     END IF;
   END PROCESS;
END ARCHITECTURE ART;
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值