自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(82)
  • 资源 (2)
  • 收藏
  • 关注

原创 本科小论文辅导---文献综述怎么写?

本科小论文之撰写国内外发展现状及文献调研方式方法和资源网站。

2024-02-28 14:00:42 592

原创 本科生写小论文--选题指南(理工科)

选题是没有老师带、又想发论文的同学,面临的第一个难题。本文首先介绍了论文的不同类型,包括大家所熟知的SCI,EI等等,紧接着介绍了如何确定自己感兴趣的领域,如何查找及阅读相关资料、文献,最后确定自己的选题。

2024-02-04 09:32:44 430

原创 每日学习笔记R2

近年来,具备自主航行能力、通过搭载任务载荷来遂行侦察监视、海上测绘、火力打击、反潜反水雷作战等多样化任务的水面无人作战潜艇备受关注,多国陆续开展水面无人潜艇项目研发。该项目预计在XX年前完成水面无人作战平台的基础架构和原型试验,使其初步具备自主导航、远程控制、障碍物规避和威胁检测能力,并完成自动驾驶系统测试。探地雷达具备定位准确、探测分辨率高、无损检测等优点,能够针对具备均一性好、电导性低、含水量低、密度性低的风成沙沉积进行有效探测。该领域存在的重难点问题,解决该问题的基础和关键策略。

2024-02-02 15:40:23 265

转载 每日学习笔记 R1

未来战争中的大数据。

2024-02-01 14:03:51 80

原创 本科生怎么安排自己的第一篇小论文?

小论文写作

2024-01-31 14:18:21 114

原创 本科毕业答辩模板

本文介绍在本科/硕士毕业答辩时,答辩专家较高频率问到和关心的问题。能够帮助答辩同学理清答辩思路,更好的了解到自己做了什么。

2023-12-15 10:12:23 980

原创 本科毕设答辩辅导

毕业答辩的时候,答辩专家们想知道什么?如何精准预判专家的想法?

2023-04-07 16:12:10 152 1

原创 6.6 RL:MDP及奖励函数

作为一个想踏入DRL黑洞的小白,在阅读过相关DRL的文章之后,想采用基于策略梯度的DRL算法A3C做一些工作,以便在本人领域发一些文章。学习A3C之前,先学习一下AC。

2022-06-06 19:54:30 1540 1

原创 6.6 分离卷积

CNN中的分离卷积(轻量级卷积方法)

2022-06-06 12:22:21 1153

原创 关于ZYNQ UART通信16进制串口收发数据存储大小端不一的解决办法

1 问题描述通过串口小助手1.3软件,走Uart通道,写到UART ReceivedBuffer中,出现写数据只能写入一位的问题。e.g. 串口发送0x00000190,在ReceivedBuffer中,只接收到了0x01,并且返回到串口小助手上的数据显示为0x90010000.2 问题分析出现问题的原因为:UART端接收数据时,按照低位在前,高位在后的顺序进行存储;因此需要把UART端的数据转换成高位在前,低位在后的存储方式。(大小端互换)3解决问题对接收缓冲器中的数据进行一次大小

2022-05-05 10:59:35 950

原创 Max Digit

You have a number and you need to determine which digit in this number is the biggest.Input: A positive int.Output: An Int(0-9)Example:max_digit(0) == 0max_digit(52) == 5max_digit(634) == 6max_digit(1) == 1max_digit(10000) == 1思路分析:返回数字

2022-03-26 20:55:07 389

原创 杨老师Python课程PPT课后习题

1题目:输出个人自我介绍需求:使用input()函数从键盘输入姓名、年龄,座右铭,并使用print()函数输出到控制台运行效果图:代码:variable = input('请输入您的姓名:')num=int(input('请输入您的年龄:'))variable1 = input('请输入您的座右铭:')print('------自我介绍------')print('请输入您的姓名:', num)print('请输入您的年龄:', variable)print('请输入您的座右.

2022-03-23 14:36:43 1804

原创 LeetCode 1-5

Leetcode英文网页 1-5 较优答案及分析解答

2022-02-28 19:32:44 127

原创 华为Verilog入门教程后习题解答

1 数字电路设计有哪几种层次可否分别举个例子?答:当前的数字电路设计从层次上可以分成以下几个层次:① 算法级设计。利用C语言及其他一些系统分析工具如Matlab对设计从系统的算法级方式进行描述。算法级不需要包含时序信息;② RTL级设计:用数据流在寄存器间传输的模式来对设计进行描述;③ 门级:用逻辑级的与或非门等门级之间的连接对设计进行描述。④ 开关级:用晶体管和寄存器及他们之间的连线关系型对设计进行描述。算法级是高级的建模,一般对特大型设计或有较复杂的算法时使用,特别是通讯方面的一

2022-01-07 17:16:27 1012

原创 华为Verilog入门教程

对于初学者,可大致了解Verolog HDL所提供的能力,掌握Verilog HDL语言的核心子集。1 Verilog HDL语言具有:设计的行为特性、设计的数据流特性、设计的结构组成以及包括响应监控和设计验证方面的时延和波形产生机制。2 如何描述自顶向下的设计思想:每个模块实现特定的功能,模块间可进行层次的嵌套,因此可以将大型的数字电路设计分割成大小不一的小模块来实现特定的功能,最后通过由顶层模块调用子模块来实现整体功能。3 三种建模方式3.1 结构化描述方式结构化建模方式就是通过对电

2022-01-07 14:25:53 1331

原创 开题报告写作攻略--概述及国内外研究现状

硕士开题报告之文献综述部分总结。一、论文标题四要素① predicts content 预示研究内容;② Interesting 吸引读者兴趣;③ Reflects tone反映作者的语气;④ Important keywords关键字。注意事项: 尽量缩短题目长度;不能模棱两可;5-15字间;除非众所周知,否则不用缩写。二、 国内外研究现状综述1 参考文献数目参考文献一般以10-40为宜。2 参考文献时间段一般是针对2-5年之...

2021-11-29 10:59:13 4847

原创 Err: HLS C Simulation Run 按钮变为灰色

出现C Simulation 在toolbar中呈现灰色时: 1 check工程中是否有solution1文件夹,如果没有,则需要重新创建文件; 2 check source和Test Bench文件夹下是否添加全部的data文件,相应的文件记得添加到相应的文件夹下,并全部添加! 3 创建工程时,名字最好不要相同或重复的太多。...

2021-11-02 15:52:16 564

原创 C++动态内存分配及内存管理

A Defination of Resource:任何在你的程序中获得并在此后释放的东西。一、动态内存的使用及内存分配异常的处理1. new & delete 基础 new&delete属于C++逻辑内存资源中的自由存储区 -- 堆。C++中所有内存需求都是在程序执行之前通过定义所需要的变量来确定的。当程序的内存需求只能在运行时确定时,即需要的内存取决于用户输入,在这些情况下,程序需要动态分配内存,C++语言将运算符new和delete一起使用进行内存的动态分配...

2021-10-29 10:52:28 498

原创 PP4FPGA--Chapter4 离散傅里叶变换

1 傅里叶级数Discrete Fourier Transformation, DFT. 离散傅里叶变换的核心是以一组固定系数执行矩阵向量乘法。傅里叶级数提供了一种方法来观察从-Π到Π的一个周期内的连续实值周期信号。Jean Baptiste Joseph Fourier的开创成果表明,在2Π周期内任何连续的周期性信号都可以用周期为2Π的余弦和正弦和表示。2 DFT背景介绍离散傅里叶级数对于周期连续性信号的作用可以通过对离散傅里叶级数的推倒得以证明,而离散傅里叶变换是针对于离散的周期信号。.

2021-10-26 15:50:53 421

原创 硬件加速--1 FPGA vs GPU 特点对比概括(观点支持FPGA)

中文版FPGA vs GPU对比总结:1 FPGA强大的原始数据计算力及可重构性,允许它处理任意精度的数据,但GPU的数据处理受限于开发平台。2 FPGA片上资源可重构行及灵活的硬件布局特性使其具有强大的片上计算能力,故FPGA的数据处理效率高,但由于GPU在运算时需要外部处理器的支持,外部硬件资源的加入,导致对数据处理速度大大降低。3 FPGA可以灵活指定数据处理深度,其可重配置性及指定宽度的存储资源灵活运用,允许DNN算法的大量多线程并行执行,但是GPU在线程并发过多时,计算力就大大减弱且效

2021-10-20 11:24:34 6188

原创 C++基础知识大杂烩

//结构体中包含函数指针#include<stdio.h>struct DEMO{ int x, y; int (*func)(int, int); //函数指针};int add1(int x, int y){ return x*y;}int add2(int x, int y){ return x+y;}void main(){ struct DEMO demo; //定义一个名为demo的结构体 d...

2021-10-18 16:24:20 494

原创 PP4FPGA--Chpter3 CORDIC

1 标题CORDIC坐标旋转数字算法,是一种计算三角、双曲和其他数学函数的有效方法。每次运算均产生一次结果输出。以使我们根据应用需求调增算法精度;增加运算迭代次数可以得到更精确的结果。CORDIC是只用加法、减法、移位和查找表实现的简单算法,很适合并且经常在FPGA设计中用到。功能:计算旋转角度。Vivado HLS用CODIC进行三角函数计算,同时CODIC也是现代FPGA IP CORE库中的标准运算模块。一个优秀的HLS设计人员如果希望创建最优设计,就必须理解算法。本章目标:为变量选择

2021-10-18 09:00:40 195

原创 PP4FPGA-Chpter2 FIR滤波器

1 概述1 滤波器的两个基本应用:信号重建和信号分离。信号分离更常用到将输入信号分离到不同部分。或者设计低通滤波、带通滤波器来筛选特定信号频率。信号重建时指滤除可能混入有用信号的噪声和其他失真。例如通过无线信道传输数据。信号重建包括平滑信号和移除直流分量。2 数字FIR滤波器数字FIR通常处理由采样连续信号产生的离散信号。采样的数据格式取决于应用场景。数字通信领域通常使用复数inphase和quadrature或I/Q值来白哦是一个采样数据。2 背景1 脉冲响应对滤波器输入.

2021-10-15 16:25:24 1133

原创 HLS:has an unsynthesizable type (possible cause(s): pointer to pointer or global pointer).

我的函数:float FuzzyPID::realize(float t,float a);其中t --> target value , a --> actual value;该函数变量为指针形式,且为被多次访问的指针; 因此查阅ug902文档解释:1 此代码产生的RTL设计将读取1次输入端口,写入1次输出端口。与任何标准C语言编译器一样,Vivado HLS将优化掉多余的指针访问。要按照“预期”实现对两个变量的多次读写,必须将指针指定为volatile类型。2 <re..

2021-10-13 15:47:54 384

原创 pp4fpga-Chapter 1 简介

目标:HLS代码优化设计1.1 HLS high level synthesis1 EDA(Electronic Design Automation,电子设计自动化)工具可以先把RTL转化为数电模型,再由模型转换成一个设备上的具体电路实现方案。2 HLS的目标是根据用户提供的输入和限制,替用户做出很多决定。3 HLS使用规范:① 不使用动态内存分配(malloc(), free(), new 和 delete());② 减少使用指针对指针的操作!!!③不使用系统调用(abort.

2021-10-13 10:55:09 264

原创 Vivado HLS中多个Solutions同时打开or 指定某一个solution打开

问题描述:昨日设计的hls工程包含多个优化的solution,但是今天打开工程时,只出现一个默认的solution1,表示非常无解。因为接下来要继续在原有的基础上做优化或用这个和后面优化后的solution进行结果的对比。即在该solution中加入directives。(因为是初学者,所以一步步按照ug871的tutorials来的。)为了做comparison analysis,学者务必解决这个问题。问题解决步骤:找到run_hls.tcl文件,修改代码如下:注:如果按照ug871的

2021-10-11 14:30:58 526

原创 Vivado HLS Report Comparison

方法:按Ctrl键,左键选中要对比的几个solution;选中solutions后按右键,选择Compare Reports.完成。

2021-10-09 21:07:59 269 1

原创 200MHz延时150ms输出pwm波 verilog

说明:板子时钟频率200MHz,pwm波周期为1ms,频率为1k的方波信号;功能:使用计数器延时的方法,延迟150ms输出pwm波`timescale 1ns / 1nsmodule optional_pwm_module( input clk, input rst_n, input[2:0]Option_Key, output pwm_out);//定义200MHz频率定时3.9us的常量 parameter SEGMENT=10'd780; //200MHz跳多少下得到3

2021-09-20 11:36:00 2231

原创 Verilog时序篇第3-4章

第3章 流水操作和建模verilog的流水线操作效率高,速度快,但其存在两方面缺点: 1 步骤之间存在无法预测的潜伏期; 2 串并联的流水线操作中,数据流都只有一个前向方向,诸如我们涉及到的控制和驱动领域,就不适合。而繁杂的数据处理和算法,比较适合流水线操作,这也是为什么许多AI项目采用硬件加速器的原因之一。比如CNN的卷积运算就可以通过FPGA来实现加速。 由于流水线操作不适用于控制工程中的原因,本文将不再对流水线技术有过多的研究。第四章 模块...

2021-09-05 20:11:23 954

原创 LUT乘法器

LUT乘法器又称为查表法乘法器,就是先把各种各样的结果储存在一个表中,然后乘法的结果以“查表”的方式取得。查表乘法器之所以被称为快速乘法器,因为查表乘法器只要用些许时钟去查表就可以求得乘法的结果。反之,非查表乘法器需要许多时钟用于乘法的运算。查找表乘法器是“以空间换时间”的乘法器,因此查找表很消耗空间。Quarter Square乘法查表公式:reg[8:0]I1,I2;case(i) 0: begin I1<={A[7],A}+{B[7],B}; //C=A+B.

2021-09-05 10:41:48 1019

原创 整数乘法器

module multipier_module( input clk, input rst_n, input Start_Sig, input [7:0]Multiplicand, input [7:0]Multiplier, output Done_Sig, output [15:0]Product); reg[1:0]i; reg[7:0]Mcand; reg[7:0]Mer; reg[15:0]Temp; reg isNeg; reg isDone; alway.

2021-08-30 16:48:43 368

原创 ZYNQ7035PS--串口打印 及串口通信原理

琐碎知识点PS端的IO分配相对固定,不能任意分配,且不需要在Vivado软件里分配管脚。但还是需要建立Vivado工程配置PS管脚。在IP生成的输出文件中已经包含了PS端引脚分配的XDC文件,绑定了PS端的IO,因此不需要再新建XDC绑定这些引脚。本章开始使用ZYNQ的图形化方式建立工程create block design。PS端外设配置:ZYNQ的PS端外设端很多是复用的,相同的引脚号可以配置成不同的功能(在Peripheral I/O Pins中修改)具体端口功能需要根据核心板原理图的

2021-01-28 00:18:01 1545

原创 Pytorch1 使用tensor和autograd模块实现线性模型

声明:本文章是根据《动手学深度学习pytorch版》进行学习,如有侵犯请告知,必删除"""简单的线性回归实现本节将介绍如何只利用tensor和autograd实现一个线性回归的训练"""获取数据,数据处理,训练模型import torchfrom IPython import displayfrom matplotlib import pyplot as pltimport...

2020-03-02 09:39:34 423 1

原创 TensorFlow实战课程笔记

昨天装好了TensorFlow2.0,继续课程学习Python基础,鉴于本人大三学过python课程,以下内容仅为查漏补缺1 python基础标识符(identifier):变量、函数、数组、文件、对象等的名字。①标识符的第一个字符必须是字母或者下划线②其他字符可以由字母、下划线、或数字组成③标识符长度任意④建议不要用下划线作为开头_xxx #类中的保护变量名...

2020-02-12 12:00:54 115

转载 初学者如何选出最适合自己深度学习框架?

无论是对于深度学习相关专业的初学者,还是已经在企业和组织中从事工业场景应用和研发的开发者来说,选择一个适合自己,适合业务场景需求的深度学习框架显得尤为重要。下边对现有深度框架做一个全面的阐述及解答。目前,国内外有哪些主流的深度学习框架?分别有什么优缺点?TensorFlow:谷歌大厂出品,社区资源丰富,用户群体广泛。如果你的业务是实现一个比较成熟的应用场景,选择 TensorFlow 是个...

2020-02-01 11:50:01 623

原创 深度学习框架

机器学习用大量的数据进行训练,通过各种算法从数据中学习如何完成任务。从学习方法上分:监督学习,无监督学习,半监督学习,集成学习,深度学习和强化学习。传统的机器学习算法在指纹识别、基于Haar的人脸检测、基于HOG特征的物体检测等领域应用已经成熟,直至深度学习的出现。深度学习最初深度学习是用深度神经网络解决特征表达的一种过程,可大致理解为包含多个隐含层的神经网络结构。目前存在...

2020-01-30 22:21:51 1695

原创 如何写国内外综述

国内外综述是简述或综述别人在本研究领域或相关课题研究中,做了什么,做的如何,有哪些问题解决了,有哪些未解决,以便为自己开展课题研究提供一个背景和起点,找到突破口和创新处。主要还是对自己所看文献的一个总结。阅读文献时需要做批判性的思考,以便对既有研究成果的价值做出判断,通过这一过程将所读文献中的思想转变成自己思想的一部分。在写国内外研究综述时: 对所写课题有关的专注和论文中主要观点进行归类整...

2020-01-17 21:33:16 5842

原创 C++实现各种排序--快排、插排、冒泡

1 插入排序//插入排序//主要思想:将数组中的第一个数认为是有序排列,剩下的n-1个数与第一个数比较,再进行排序//有两层循环,第一层控制排序的趟数,第二层控制每一趟比较的个数#include<iostream>using namespace std;int main(){ int k,x=0; //数组的个数 int a[10]={0}; ...

2020-01-14 21:02:49 458

原创 C++中筛子练习代码

问题描述:求N以内的所有素数(素数:只能被1和它本身整除)1 常规筛#include<iostream>using namespace std;int main(){ int n,flag; cin>>n; for(int j=2;j<=n;j++){ //首先保证从2-N的所有数遍历一遍...

2020-01-14 16:01:01 313

原创 cin内置函数之get讲解加练习

余生很长,认准一件事,坚持一件事,做成一件事,大胆尝试余下所有的事。get函数是内置在cin中的一个函数,可以读取任何字符,通过Enter键继续读取下一个字符串(无保存)以下代码源于此网站 http://c.biancheng.net/view/1346.html// This program demonstrates three ways to use cin.get() /...

2020-01-12 23:21:46 304

小波神经网络PID算法matlab实现代码

实现WNN-PID对正弦信号的追踪,无限逼近正弦信号。 输出:正弦追踪误差以及PID参数。

2023-03-10

指定个数占空比及频率可调的PWM代码 verilog实现

适用对象:该代码适用于使用脉冲驱动的仪器,如步进电机等。 功能:产生指定个数、占空比、频率(对应速度)的PWM。 内容:代码包含PWM产生文件以及testbench测试文件。

2023-03-10

杨老师python基础课程PPT修改补充版(含编程思路第1-3章)

杨老师python基础课程PPT修改补充版(含编程思路第1-3章)

2022-03-23

ZYNQ7035 pl端有限状态机verilog语言源码.zip

在Verilog语言中经常用到有限状态机,处理相对复杂的逻辑,设定好不同的状态,根据触发条件跳转到对应的状态,在不同的状态下进行相应的处理。在程序中设计8位寄存器,① Idle状态下,判断shift_start是否为高,若高,则进入Start状态;②在Start状态延迟100个周期,进入Run状态,进行移位处理;第一种Melay状态机采用一段式写法,一个always语句中包括状态转移,状态转换台条件判断,数据输出; 第二种Moore状态机采用三段式写法,状态转移用一个always语句,判断状态转移的条件是组合逻辑,采用一个always语句,数据输出也是单独的always语句,直观清晰;

2021-02-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除