通过位运算进行两个变量值的交换功能

通过位运算进行两个变量值的交换功能

一般交换方法

通常的交换两个变量a,b的过程为

int temp;
temp=a
a=b;
b=temp;

需借助上面的第3个临时变量temp.

位运算交换方法

采用下面的方法,对于给定两个整数a,b,下面的异或运算可以实现a,b的交换,而无需借助第3个临时变量:

    a = a ^ b;
    b = a ^ b;
    a = a ^ b;

这个交换两个变量而无需借助第3个临时变量过程,其实现主要是基于异或运算的如下性质:

  1. 任意一个变量X与其自身进行异或运算,结果为0,即X^X=0

  2. 任意一个变量X与0进行异或运算,结果不变,即X^0=X

  3. 异或运算具有可结合性,即abc=(ab)c=a(bc)

  4. 异或运算具有可交换性,即ab=ba

位运算方法分析:

  1. 第一步:a = a ^ b; 完成后 a变量的结果为a ^ b

  2. 第二步: b = a ^ b;
    此时赋值号右边的a保存的是a ^ b的值,那么将赋值号右边的a用a ^ b替换,
    得到(a^b)^b = a ^(b^b) = a^0 = a,
    即经过第二步运算后b中的值为 a,即 b = a,将 a 换到了 b 里

  3. 第三步:a = a ^ b;
    此时赋值号右边的a保存的仍然是a ^ b的值,不变,而赋值号右边的 b 已经是 a 了,将赋值号右边的a,b分别进行替换,
    即此时赋值号右边a^b =(a^b)^a = a^b^a = a^a^b = 0^b = b, 该值赋值给a,即a=b
    即经过第三步运算后a中的值为b,即a=b,将b换到了a里.

这样经过如上的三步骤,完成了交换两个变量a,b而无需借助第3个临时变量过程。

是在不理解的话可以直接举个例子就明白了。

  • 19
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

star__king

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值