寄存器类型

RW_REG

module RW_REG #(parameter DW=32,INIT=32'h0)
(
input						clk,
input						rst_n,
input						wen,
input		[DW-1:0]		datain,
input		[DW-1:0]		dataout
);

reg		[DW-1:0]			data;

always @(posedge clk or negedge rst_n) begin
	if(rst_n == 1'b0)
		data <= INIT;
	else if(wen == 1'b1)
		data <= datain;
end

assign dataout = data;

endmodule

RWU_REG

module RWU_REG #(parameter DW=32)
(
input						clk,
input						rst_n,
input						wen,
input		[DW-1:0]		datain,
input						updata,
input		[DW-1:0]		udata,

input		[DW-1:0]		dataout
);

reg		[DW-1:0]			data;

always @(posedge clk or negedge rst_n) begin
	if(rst_n == 1'b0)
		data <= {DW{1'b0}};
	else if(wen == 1'b1)
		data <= datain;
	else if(updata == 1'b1)
		data <= udata;
end

assign dataout = data;

endmodule

WIC_REG

module W1C_REG #(parameter DW=32)
(
input						clk,
input						rst_n,
input						wen,
input		[DW-1:0]		datain,
input		[DW-1:0]		ind,

input		[DW-1:0]		dataout
);

reg		[DW-1:0]			data;

always @(posedge clk or negedge rst_n) begin
	if(rst_n == 1'b0)
		data <= {DW{1'b0}};
	else if(wen == 1'b1)
		data <= (~datain & data) | ind;
	else if(updata == 1'b1)
		data <= data | ind;
end

assign dataout = data;

endmodule

W1PE_REG

module W1PE_REG #(parameter DW=32)
(
input						clk,
input						rst_n,
input						wen,
input		[DW-1:0]		datain,

input		[DW-1:0]		dataout
);

reg		[DW-1:0]			data;

always @(posedge clk or negedge rst_n) begin
	if(rst_n == 1'b0)
		data <= {DW{1'b0}};
	else 
		data <= datain & {DW{wen}};
end

assign dataout = data;

endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值