自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 收藏
  • 关注

原创 第二章 数据类型与编程结构2

第二章 数据类型与编程结构22.2 过程语句2.2.1 赋值语句2.2.2 控制语句2.3 函数与任务2.3.1 函数与任务的区别2.3.2 子程序定义2.3.3 子程序参数2.3.4 子程序返回二级目录三级目录2.2 过程语句2.2.1 赋值语句阻塞赋值非阻塞赋值自加/自减赋值过程连续赋值语句SystemVerilog引入了自加和自减操作符,允许变量自身可以将当前值做递增或递减。过程连续赋值语句,包括对变量和网线的assign、deassign、和force、rel

2021-09-01 18:15:51 161

原创 第二章 数据类型与编程结构1

第二章 数据类型与编程结构1 2.1 数据类型2.1.1 两态数据类型2.1.2 枚举类型和用户自定义类型枚举类型用户自定义类型2.1.3 数组与队列静态数组与压缩数组动态数组关联数组队列2.1.4 字符串2.1.5 结构体和联合体2.1.6 常量2.1.7 文本表示数值表示字符串表示结构体表示数组表示时间表示注释方式2.1.8 操作符和表达式2.1 数据类型2.1.1 两态数据类型2.1.2 枚举类型和用户自定义类型枚举类型枚举类型可以用来声明一组整型的命名常量,定义

2021-09-01 14:48:08 145

原创 第一章 功能验证技术与方法学概要

第一章 功能验证技术与方法学概要1.1 功能验证与验证平台1.1.1 专用芯片设计流程1.1.2 什么是验证1.1.3 验证平台可以做些什么1.1.4 功能验证流程1.2 验证技术和验证方法学1.2.1 黑盒、白盒与灰盒验证1.2.2 验证技术1.2.3 验证存在的挑战1.2.4 验证方法学1.1 功能验证与验证平台在芯片设计过程中,验证是覆盖面比较广的课题,其中主要包括功能验证、物理验证、时序验证等内容。验证平台(testbench)需要提供更多的自动化机制来提高每一个测试用例(t

2021-09-01 10:16:44 544

原创 总线时序检查&寄存器测试&发送测试和接收测试。

总线时序检查&寄存器测试&发送测试和接收测试总线时序检查寄存器与外部主机关系寄存器测试发送测试和接收测试。总线时序检查总线时序检查主要通过在接口模型中添加相关的断言,利用断言机制实时监测总线信号之间的时序是否满足协议要求。寄存器与外部主机关系寄存器是外部主机访问 APB-I2C 控制器的接口,因此需要首先进行寄存器测试确保外部主机能够正常访问控制器。寄存器测试寄存器测试包括复位值和寄存器读写访问功能点。复位值测试确保所有寄存器正确复位到指定的默认值,其测试结果主要通过仿真报告

2021-05-28 15:01:48 469

原创 常用Linux command

常用Linux command消除高亮 :noh如何横/竖分屏打开新文件如何横/竖分屏打开当前文件如何切换分屏如何关闭分屏如何调整分屏的大小如何移动分屏全选跳转第n行连续删几行撤销 回退合并上下行移动到文首,文末翻页快速将光标移动至行首和行位复制剪切粘贴多行编辑模式消除高亮 :nohshift + * 向下查找并高亮显示shift + # 向上查找并高亮显示g + d 高亮显示光标所属单词n 查找如何横/竖分屏打开新文件:sp filename

2021-05-07 18:17:25 102

转载 reCaptcha 国内无法加载解决方案(original by xmdhs)

https://blog.azurezeng.com/recaptcha-use-in-china-1/https://www.bilibili.com/read/cv4482883/

2021-04-27 11:09:37 3609

原创 Verilog 中 function和task****

Verilog 中 functiontask 和 function 的不同:function定义函数实例:调用函数的语句形式如下:taskA) task说明语句1)任务的定义;2)任务的调用以及变量的传递:task 和 function 说明语句分别用来定义任务和函数,利用任务和函数可以把函数模块分成许多小的任务和函数便于理解和调试。任务和函数往往还是大的程序模块在不同地点多次用到的相同的程序段。输入、输出和总线信号的数据可以传入、传出任务和函数。task 和 function 的不同:1)函数只能

2021-04-26 17:38:46 220

原创 GVim常用指令

消除高亮 :nohshift + * 向下查找并高亮显示shift + # 向上查找并高亮显示g + d 高亮显示光标所属单词n 查找如何横/竖分屏打开新文件:sp filename:split filename:vsp filename:vsplit filename:sview filename ->只读分屏打开文件从命令行直接打开多个文件且是分屏vim -On file1, file2 … ->垂直分屏vim -on

2021-04-26 17:25:02 1327

原创 Makefile简述

Makefile Makefile 介绍一、Makefile 的规则二、一个示例三、make 是如何工作的Makefile 总述 ——————— 一、Makefile 里有什么?五、make 的工作方式五、伪目标一、变量的基础 变量在声明时需要给予初值,而在使用时,需要给在变量名前加上“”符号,但最好用小括号“()”或是大括号“”把变量给包括起来。如果你要使用真实的“”符号,但最好 用小括号“()”或是大括号“{}”把变量给包括起来。如果你要使用真实的“”符号,但最好用小括号“()”或是大括号“”把变量给包

2021-04-18 23:55:39 331

原创 寄存器类型

寄存器类型RW_REGRWU_REGWIC_REGW1PE_REGRW_REGmodule RW_REG #(parameter DW=32,INIT=32'h0)(input clk,input rst_n,input wen,input [DW-1:0] datain,input [DW-1:0] dataout);reg [DW-1:0] data;always @(posedge clk or negedge rst_n) beg

2021-04-13 14:05:34 586

原创 三、Perl循环

Perl 循环循环控制语句Perl while 循环Perl until 循环Perl for 循环Perl foreach 循环Perl do...while 循环Perl 循环嵌套Perl next 语句Perl last 语句Perl continue 语句Perl redo 语句Perl goto 语句有的时候,我们可能需要多次执行同一块代码。一般情况下,语句是按顺序执行的:函数中的第一个语句先执行,接着是第二个语句,依此类推。编程语言提供了更为复杂执行路径的多种控制结构。循环语句允许我们多次

2021-04-11 19:08:15 1035

原创 二、Perl条件语句

Perl条件语句Perl条件语句三元运算符 ? :Perl IF 语句Perl IF...ELSIF 语句Perl UNLESS 语句Perl UNLESS...ELSE 语Perl UNLESS...ELSIF 语句Perl switch 语句二级目录三级目录Perl条件语句Perl 条件语句是通过一条或多条语句的执行结果(True或者False)来决定执行的代码块。可以通过下图来简单了解条件语句的执行过程:注意,数字 0, 字符串 ‘0’ 、 “” , 空 list() , 和 undef 为

2021-04-11 17:46:15 567

原创 一、Perl数据类型

Perl数据类型Perl变量创建变量Perl标量数字标量字符串标量标量运算多行字符串特殊字符v 字符串Perl数组创建数组访问数组元素数组序列号数组大小添加和删除数组元素切割数组替换数组元素将字符串转换为数组将数组转换为字符串数组排序特殊变量: $[合并数组从列表中选择元素Perl哈希创建哈希一、为每个 key 设置 value二、通过列表设置访问哈希元素读取哈希值读取哈希的 key 和 value检测元素是否存在获取哈希大小哈希中添加或删除元素迭代哈希变量上下文二级目录三级目录Perl的三个基本的数据类

2021-04-11 16:45:16 609

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除